В чем разница между $signed и signed' в verilog?
Может кто-нибудь объяснить мне, почему нам нужен импорт функций DPI-C для выполнения функций,...
Я хочу узнать, сколько раз конечный автомат проходил следующую последовательность состояний,...
Я разрабатываю схему, которая должна проверять, равны ли попконты (количество битов, установленных...
У меня есть следующий код: typedef enum logic [1:0] { CMD1 = 2'b1?, CMD2 = 2'b01, CMD3 =...
Предположим, у меня есть следующее утверждение. Теперь я хочу, чтобы сигнал А оставался стабильным...
Я сомневаюсь в утверждениях и в большей степени связан с инструментами, а это с инструментом...
У меня есть массив интерфейсов.Я знаю, что массив экземпляров и интерфейсов не похож на обычные...
Предположим, у нас есть такой интерфейс: interface Memory_i(input Clock); Data_t InData; Data_t...
Я создал конечный автомат / путь к данным, который я отлаживаю в ModelSim. Состояния: Загрузка,...
Я создал файл восстановления контрольной точки с $save в модели, которая указала -ucli, поэтому при...
Как этот цикл будет работать на языке SystemVerilog? for(bit [2:0] i = 0; i < 4; i++) Какими...
У меня есть AXI UVC, который можно настроить как ведущий или ведомый, и интерфейс с 3 блоками...
Моя структура содержит параметры, которые варьируются в зависимости от модуля.Я хотел бы...
Я хочу отключить определенные утверждения в различных блоках. Эти блоки генерируются с...
Я хочу реплицировать один бит для определенного времени, используя opreator репликации {}, но я...
Некоторые проблемы приводят к рекурсивному решению. Возможна ли рекурсивная реализация в Verilog?...
У меня есть следующие структуры: typedef struct packed { type1_t info1; type2_t info2; }...
У меня есть проблема, и у меня нет идей, как ее решить. У меня есть класс, который содержит массив...
Вот фрагмент кода с 3 различными операторами всегда, который должен быть синтезируемым. Проблемы со...
Я знаю, что в HDL можно объединить с c
Здравствуйте, хотите узнать, как ассоциативные массивы передаются в качестве возвращаемых значений...
Я проектирую модуль с плавающей запятой в SystemVerilog, который принимает два 32-разрядных ввода в...
У меня есть следующее свойство SVA: $rose(hresetn) |-> ( ##[0:$] $rose(signal_a) ##[0:2]...
Я объявил следующий модуль systemverilog: module module_top ( input logic clk, input logic rst,...