Вопросы с тегом Система-Verilog - PullRequest

Вопросы с тегом Система-Verilog

0 голосов
0 ответов

Мне нужно создать синусоидальную волну с помощью Verilog, и в Google я нашел кое-что связанное с...

HAZEL / 08 ноября 2019
0 голосов
1 ответ

Я запускаю тест (UVM) с большим количеством компонентов. Это тест верхнего уровня, однако я...

Hellzzar / 07 ноября 2019
0 голосов
2 ответов

ModelSim Руководство пользователя (v10.1c), на странице 660, рассказывает о потоке автокомпиляции...

ghertz / 05 ноября 2019
0 голосов
2 ответов

Я могу построить код в modelsim, но при симуляции получаю ошибку ниже: addr_x, driven via port...

adi_226 / 02 ноября 2019
0 голосов
1 ответ

У меня проблемы с доступом к пакету и макросу из другой библиотеки в systenverilog. Я не понимаю,...

Damo / 01 ноября 2019
0 голосов
0 ответов

У меня есть счетчик (cnt_a) с начальным значением 125000 (т.е. 17'h 1_E848). который указывает...

johnagrees / 31 октября 2019
0 голосов
0 ответов

Я пытаюсь написать функциональное покрытие для моего дизайна. Я написал необходимые группы покрытия...

Андрей Солодовников / 30 октября 2019
0 голосов
1 ответ

Я пытаюсь реализовать дисперсию в vivado 2017.4 с использованием арифметики с фиксированной точкой....

David / 29 октября 2019
1 голос
1 ответ

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b1; end initial...

user3124390 / 26 октября 2019
0 голосов
1 ответ

Я новичок в EDA, и у меня есть следующий код verilog, и мне нужно четко определить синхронный сброс

yasara malshan / 24 октября 2019
0 голосов
2 ответов

Я изо всех сил пытаюсь найти способ применить побитовый оператор между скаляром и вектором,...

ARK91 / 24 октября 2019
0 голосов
0 ответов
0 голосов
1 ответ

Как вы можете управлять внутренними сигналами verilog-кода DUT из testbench? Рассмотрите следующий...

user3303020 / 23 октября 2019
0 голосов
0 ответов

Verilog-XL имеет системную задачу $ settrace, которую можно использовать для отслеживания симуляции

user3124390 / 23 октября 2019
0 голосов
1 ответ

Я пытаюсь понять, как работает алгоритм планирования Verilog. В приведенном ниже примере выводится...

user3124390 / 19 октября 2019
0 голосов
1 ответ

Я пишу средство проверки DPI (файл .cpp). В этом случае Checker считывает 128-битное значение в...

eshan kanoje / 12 октября 2019
0 голосов
1 ответ

У меня есть многомерный массив logic [7:0] memory[1:0][1:0]; файл с разделением запятыми file.txt 1...

user3303020 / 10 октября 2019
0 голосов
1 ответ

Рассмотрим следующий пример verilog, разрешено ли это и можно ли его синтезировать? function [7:0]...

user3303020 / 09 октября 2019
2 голосов
2 ответов

Я использую экземпляр процессора MIPS с одним циклом. я хочу реализовать хранение половинного слова...

Abdullah Khalid / 09 октября 2019
0 голосов
1 ответ

Я хочу пройти логический вектор из 32 битов и найти индексы, которые имеют значения 1 и 0, и...

Vishal Kirthic / 09 октября 2019
1 голос
1 ответ

Я пытаюсь понять синтаксис System Verilog. Я изо всех сил пытался закончить назначение, и я...

jav_solo / 08 октября 2019
2 голосов
1 ответ

Как определить точность шкалы времени, использованную в симуляции, из исходного кода? Предположим,...

Sreejin TJ / 01 октября 2019
0 голосов
1 ответ
1 голос
2 ответов

У меня есть логика, объявленная как logic [N-1:1] Ca В этом случае N будет равно 4. Я знаю, что...

Papbad / 30 сентября 2019
0 голосов
0 ответов

В моем файле ckt.sv есть мой модуль ckt (который я должен синтезировать) и мой модуль testbench...

adi_226 / 29 сентября 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...