Вопросы с тегом система-verilog - PullRequest

Вопросы с тегом система-verilog

1 голос
1 ответ

Может кто-нибудь объяснить мне, почему нам нужен импорт функций DPI-C для выполнения функций,...

user1978273 / 22 сентября 2019
0 голосов
1 ответ

Я хочу узнать, сколько раз конечный автомат проходил следующую последовательность состояний,...

Sanjeev Singh / 29 июня 2019
1 голос
0 ответов

Я разрабатываю схему, которая должна проверять, равны ли попконты (количество битов, установленных...

asa / 29 июня 2019
2 голосов
1 ответ

У меня есть следующий код: typedef enum logic [1:0] { CMD1 = 2'b1?, CMD2 = 2'b01, CMD3 =...

jkang / 27 июня 2019
0 голосов
1 ответ

Предположим, у меня есть следующее утверждение. Теперь я хочу, чтобы сигнал А оставался стабильным...

the freethinker / 26 июня 2019
0 голосов
0 ответов
0 голосов
1 ответ

У меня есть массив интерфейсов.Я знаю, что массив экземпляров и интерфейсов не похож на обычные...

the freethinker / 19 июня 2019
0 голосов
1 ответ

Предположим, у нас есть такой интерфейс: interface Memory_i(input Clock); Data_t InData; Data_t...

Goshik / 30 мая 2019
0 голосов
1 ответ

Я создал конечный автомат / путь к данным, который я отлаживаю в ModelSim. Состояния: Загрузка,...

James Dean / 22 мая 2019
0 голосов
0 ответов

Я создал файл восстановления контрольной точки с $save в модели, которая указала -ucli, поэтому при...

nmz787 / 17 мая 2019
0 голосов
1 ответ

Как этот цикл будет работать на языке SystemVerilog? for(bit [2:0] i = 0; i < 4; i++) Какими...

Ishan Kumar / 08 мая 2019
0 голосов
1 ответ

У меня есть AXI UVC, который можно настроить как ведущий или ведомый, и интерфейс с 3 блоками...

je_pat / 11 апреля 2019
0 голосов
2 ответов

Моя структура содержит параметры, которые варьируются в зависимости от модуля.Я хотел бы...

jkang / 11 апреля 2019
1 голос
1 ответ

Я хочу отключить определенные утверждения в различных блоках. Эти блоки генерируются с...

Will / 02 апреля 2019
2 голосов
1 ответ

Я хочу реплицировать один бит для определенного времени, используя opreator репликации {}, но я...

Hussien Mostafa / 01 апреля 2019
4 голосов
1 ответ

Некоторые проблемы приводят к рекурсивному решению. Возможна ли рекурсивная реализация в Verilog?...

Matthew Taylor / 22 марта 2019
1 голос
1 ответ

У меня есть следующие структуры: typedef struct packed { type1_t info1; type2_t info2; }...

Veridian / 18 марта 2019
0 голосов
1 ответ

У меня есть проблема, и у меня нет идей, как ее решить. У меня есть класс, который содержит массив...

noobuntu / 13 марта 2019
0 голосов
1 ответ

Вот фрагмент кода с 3 различными операторами всегда, который должен быть синтезируемым. Проблемы со...

user9906612 / 12 марта 2019
0 голосов
1 ответ

Здравствуйте, хотите узнать, как ассоциативные массивы передаются в качестве возвращаемых значений...

Roger Federer / 17 декабря 2018
0 голосов
1 ответ

Я проектирую модуль с плавающей запятой в SystemVerilog, который принимает два 32-разрядных ввода в...

Quick / 14 декабря 2018
0 голосов
1 ответ

У меня есть следующее свойство SVA: $rose(hresetn) |-> ( ##[0:$] $rose(signal_a) ##[0:2]...

El_Gahaf / 22 ноября 2018
0 голосов
1 ответ

Я объявил следующий модуль systemverilog: module module_top ( input logic clk, input logic rst,...

maskarih / 24 октября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...