Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

1 голос
1 ответ

Мне нужно получить абсолютный сигнал в Xilinx Simulink. Я могу использовать блок mcode и написать...

Kiran / 07 мая 2011
1 голос
1 ответ

Я пытаюсь создать демодулятор DBPSK, используя набор блоков Simulink и Xilinx.Я рассчитываю...

Kiran / 05 мая 2011
8 голосов
1 ответ

Что я делаю Я начал играть с Xilinx ISE Design Suite и писал простые арифметические логические...

Margus / 20 апреля 2011
1 голос
3 ответов

Я хотел бы знать правильную процедуру создания файла PROM (.MCS) для последовательной флэш-памяти...

Erick Tejada / 13 апреля 2011
0 голосов
1 ответ

Я разработал 4-разрядный сумматор Carry Look-forward, используя модули половинного сумматора.Затем...

node ninja / 19 марта 2011
1 голос
2 ответов

У меня есть базовый 8-битный ALU, описанный в Verilog.Я пытаюсь реализовать дизайн, но получаю...

sj755 / 16 марта 2011
5 голосов
2 ответов

Я пытаюсь реализовать очень специфическое поведение LUT и срезов, написанных на VHDL для FPGA...

Nektarios / 02 марта 2011
1 голос
3 ответов

У меня очень простой модуль VHDL, состоящий из нескольких строк кода. Дело в том, что когда я...

Richi / 28 февраля 2011
2 голосов
2 ответов

Можно ли программировать Microblaze без EDK на любом устройстве Xilinx FPGA? Я развиваюсь под Linux

JCLL / 25 февраля 2011
4 голосов
2 ответов

Что это за ошибка и что я должен искать?

svalle / 22 февраля 2011
1 голос
2 ответов

У меня есть этот кусок IP, который должен быть 32-битной адресуемой памятью. Но я не могу сделать...

marlls1989 / 14 февраля 2011
0 голосов
1 ответ

Я не знаю, как правильно использовать ip core делителя из xilinx и что я делаю неправильно. Вот код...

Luka Rahne / 12 февраля 2011
4 голосов
1 ответ

У меня возникает следующая проблема при доступе к массивам в VHDL: Скажем, у меня есть массив не...

Klowse / 03 февраля 2011
2 голосов
3 ответов

У меня есть вопрос, касающийся надежности логического вывода блока памяти Xilinx ISE. У меня не...

JCLL / 01 февраля 2011
7 голосов
2 ответов

Я хочу создать декодер адресов, который будет достаточно гибким для меня, чтобы использовать его...

Erick Tejada / 25 января 2011
3 голосов
2 ответов

Я синтезировал небольшое устройство для проверки логического вывода блока. Я получил сообщение от...

JCLL / 12 января 2011
0 голосов
1 ответ

У меня есть встроенный проект с процессором, который программирует Xilinx Spartan3 с помощью...

GeePokey / 11 декабря 2010
1 голос
2 ответов

Я пытаюсь написать модуль VHDL, но у меня проблема с оператором if.Скорее всего, это глупая ошибка,...

makyol / 02 ноября 2010
0 голосов
1 ответ

Я хочу использовать аппаратный модуль Xilinx контроллера ICAP в своем собственном проекте. Этот...

Martin / 25 октября 2010
0 голосов
1 ответ

сколько «звуковых входов» высокой четкости (128K 44kH) можно сделать через обычную ПЛИС (Xilinx...

kagali-san / 02 сентября 2010
9 голосов
5 ответов

Я начинаю изучать встраивание с помощью C (и, возможно, немного C ++), и кто-то из офиса сказал,...

cooper / 26 июля 2010
17 голосов
7 ответов

Кто-нибудь знает хорошую среду для программирования VHDL и его моделирования (не важно, Xilinx или...

Daniel M. / 11 июня 2010
3 голосов
7 ответов

Какой инструмент управления конфигурацией лучше всего подходит для конструкций ПЛИС, в частности...

OneOf6inMD / 05 июня 2010
2 голосов
2 ответов

Эй, у меня почти нет опыта работы с Xilinx. У меня есть групповой проект для курса Digital Logic,...

seventeen / 03 мая 2010
0 голосов
2 ответов

Я сделал поведенческую симуляцию своего кода, и она отлично работает. Результаты соответствуют...

chester.boo / 12 марта 2010
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...