Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

0 голосов
1 ответ

Итак, я недавно разработал проект, в котором реализован сгенерированный MCB. Я никогда раньше не...

InterestingGuy / 17 сентября 2018
0 голосов
2 ответов

Я пытаюсь заставить мою светодиодную панель (светодиоды 20x40 с режимом сканирования 1/5) работать...

Eluv / 16 сентября 2018
0 голосов
0 ответов

Я пытался собрать пакет для OpenVizsla проекта, и я получаю следующую ошибку при сборке....

Y. Markov / 06 сентября 2018
0 голосов
0 ответов

Раньше мои проекты ISE были XC6SLX150T, но мне пришлось изменить его на XC6SLX150. Поэтому я...

JaeWon Kim / 04 сентября 2018
0 голосов
1 ответ

У меня целевое оборудование Zynq 7000. Я состоит из двухъядерного ARM Cortex A-9 с сопроцессором...

harper / 04 сентября 2018
0 голосов
0 ответов

Я использую RTC на моей плате. У меня есть файл test.cc, в котором функция и ее прототип объявлены...

Prasanna Iyengar / 30 августа 2018
0 голосов
1 ответ

Я написал тестовый стенд для моего параметризованного проекта, в котором мне нужно рандомизировать...

maskarih / 06 июля 2018
0 голосов
0 ответов

Я попытался использовать Генератор трафика Xilinx IP AXI (3.0) в режиме данных с одним проверенным...

user3094049 / 02 июля 2018
0 голосов
1 ответ

Я работаю с чипом Xilinx, когда натолкнулся на этот синтаксис в Xilinx SDK (который находится в C):...

timhuang77 / 27 июня 2018
0 голосов
2 ответов

Я читаю статью ( прикрепленный файл ) и выполняю схему VCO (Заряженный баланс) для модели на Matlab...

Thanh niên Yên Bái / 11 июня 2018
0 голосов
0 ответов

У меня есть эта схема Я должен написать для нее структурный VHDL-проект. Итак, это мои...

Genie Rand / 10 июня 2018
0 голосов
1 ответ

Мне нужно изменить поведение моих проектных источников, чтобы сделать что-то немного другое в...

chasep255 / 08 июня 2018
0 голосов
1 ответ

Я хотел бы активировать функции PREEMPTion моего ядра Linux.Для этого я должен загрузить правильный...

Leos313 / 05 июня 2018
0 голосов
1 ответ

Я получаю предупреждение о том, что [Synth 8-3332] Последовательный элемент (\ i_data_1_vect_1_reg...

kuladeepsai reddy / 04 июня 2018
0 голосов
1 ответ

В настоящее время я делаю проект, связанный с протоколом связи между двумя ПЛИС. Когда я читаю...

Tai Chau / 27 мая 2018
0 голосов
1 ответ

В моем приложении мне нужно получить доступ к регистру из двух всегда блоков.первый блок будет...

Rahul Tungar / 26 мая 2018
0 голосов
1 ответ

Сейчас я делаю проект, связанный с MGT (Multi-Gigabit Transceiver) GTP. Поскольку я новичок в языке...

Tai Chau / 23 мая 2018
0 голосов
1 ответ

Я хочу узнать, как использовать LogiCORE DSP48 Macro.Я читаю документацию по Xilinx, но не могу...

Condor / 21 мая 2018
0 голосов
1 ответ

Я новичок на доске Zynq.Я пытаюсь работать с XADC zynq-xc7z020 и хочу увидеть его качество для...

Mojtaba Ahmadi / 20 мая 2018
0 голосов
0 ответов

У меня есть два модуля, mod1 и mod2.Я хочу подключить mod1 к mod2, чтобы входы x1 и y1 были такими...

Just Half / 19 мая 2018
0 голосов
1 ответ

Есть ли эффективный способ узнать, является ли данное число кратным 100, используя только побитовые...

e271p314 / 07 мая 2018
0 голосов
0 ответов

Я пытаюсь создать таблицу переключателей (переходов) для процессора Microblaze, которая выглядит...

user3124812 / 07 мая 2018
0 голосов
1 ответ

Я получаю эту ошибку в своем коде, я думаю, что эта ошибка возникает, когда мы пытаемся присвоить...

Momil Ijaz / 05 мая 2018
0 голосов
0 ответов

Устаревшее назначение прерываний для интерфейса PCI получает прерывание 0. Мы оцениваем оценочный...

Bill Door / 04 мая 2018
0 голосов
0 ответов

Я пытаюсь проверить мой VHDL-код, например: entity fulladder4bit is port( a,b,cin: in std_logic; s...

DarkPassenger / 04 мая 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...