Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

0 голосов
1 ответ
0 голосов
2 ответов

Как рассчитать тригонометрические функции: арктангенс, арксинус или, как минимум, синус и косинус в...

Karalina Dubitskaya / 11 декабря 2019
0 голосов
1 ответ

Я собираю UART RX и хочу сделать его настраиваемым во время синтеза, либо не получен бит четности:...

Cheiron / 09 ноября 2019
0 голосов
0 ответов

Я пытаюсь заставить ядро ​​.NET общаться с разделяемой библиотекой Xilinx SDK. Я понятия не имею, с...

ARV / 09 ноября 2019
0 голосов
0 ответов

Вот пример оболочки для консоли Xilinx Xilinx. (Это просто повторяет ответ XSCT на консоль и дает...

betontalpfa / 06 ноября 2019
0 голосов
1 ответ

d [7: 0] - входной вектор, который показывает ZZ в форме имитации. Когда такая ситуация возникает в...

Birjit / 06 ноября 2019
0 голосов
1 ответ

Я пытаюсь упаковать простой код в функцию. Вот код VHDL: process(CLK, RST) variable newdata :...

Ezk13 / 05 ноября 2019
0 голосов
0 ответов

Я пытаюсь создать проект PetaLinux 2019.1 для пользовательской платы (на основе платформы ZynqMP)...

Genesio / 30 октября 2019
0 голосов
1 ответ

Я новичок в VHDL, пытаюсь написать код, который рассчитывает от 0000 до 0099 на моей плате...

selinoktay / 30 октября 2019
1 голос
1 ответ

Я создал каждый модуль и тестовый стенд. каждый делает именно то, что должен в симуляторе. но когда...

James Wilson / 23 октября 2019
0 голосов
0 ответов

мы проектируем систему обработки видео на основе FPGA на Zynq ultrascale +. вся обработка видео...

M12421K / 13 октября 2019
0 голосов
0 ответов

Я пытаюсь подключить zed-board к последовательной связи с python, но моя оболочка python не...

HAZEL / 11 октября 2019
0 голосов
0 ответов

Я ищу справку о том, как использовать sds_mmap, чтобы получить виртуальный адрес для данного...

Harry / 01 октября 2019
0 голосов
0 ответов

Я пытаюсь использовать файл библиотеки, который автоматически создается после создания проекта...

yhy.so / 30 сентября 2019
1 голос
1 ответ

Я пытаюсь записать некоторые данные в двухпортовый BRAM и прочитать их из PL.Я создал настроенную...

delviewtravian / 27 сентября 2019
0 голосов
0 ответов

Я пытаюсь, чтобы один из выводов на моем JB-заголовке Pmod был входом, а другой - выходом.Однако я...

Skusku / 25 сентября 2019
0 голосов
0 ответов

Я работаю с OpenAMP, но сталкиваюсь с некоторыми трудностями при его использовании.Я использую...

Khurram / 24 сентября 2019
0 голосов
2 ответов

Я пытаюсь подключить выходной целочисленный порт модуля VHDL к сигналу.И этот сигнал подключит...

psfpga / 23 сентября 2019
0 голосов
1 ответ

Я работаю над некоторым исследовательским проектом на плате ZC706, который содержит Zynq-7000 соц

alireza sadeghpour / 22 сентября 2019
0 голосов
0 ответов

Я синтезирую устройство Xilinx VU9 UltraScale + в Vivado 2018.3.У меня были некоторые проблемы со...

Rich Maes / 21 сентября 2019
0 голосов
0 ответов

Я пытаюсь найти одну загадку. Для одного из приложений я обнаружил, что использование #pragma HLS...

Eric Ipsum / 20 сентября 2019
0 голосов
0 ответов

У меня есть плата, на которой я работаю, с чипом Zynq 7020 на плате, наряду с памятью DDR (и...

iAdjunct / 11 июля 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...