Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

1 голос
1 ответ

Когда контроллер NVME отправляет данные в FPGA.Иба не в порядке.у нас возникли проблемы с...

vocsiphuong / 08 июля 2019
1 голос
0 ответов

Я следовал этому руководству, чтобы загрузить ULTRA 96: https://xilinx -wiki.atlassian.net / wiki /...

Mohamed Makni / 27 июня 2019
0 голосов
0 ответов

Если вы добавляете IP-адрес пользователя в свой проект, содержащий пакет файлов verilog или vhdl...

pico / 20 июня 2019
0 голосов
1 ответ

Предположим, у вас есть ядро ​​RTL без осевой шины для файлов verilog или vhdl, и вы добавите их в...

pico / 20 июня 2019
0 голосов
1 ответ

Я разделил сигналы filter_y_out и filter_x_out, но я не знаю, как их использовать SIGNAL...

Andra12345 / 18 июня 2019
0 голосов
0 ответов

Будет читать из Hyper Terminal, используя UART (PS) в приложении XSDK Xilinx. У меня есть...

Amir Timsit / 18 июня 2019
0 голосов
1 ответ

Этот дизайн содержит один или несколько регистров или защелок с активным асинхронный набор и...

A.Ashraf / 15 июня 2019
0 голосов
1 ответ

По сути, эта проблема связана с отображением IO верхнего уровня verilog или vhdl на неиспользуемые...

pico / 12 июня 2019
0 голосов
2 ответов

Допустим, я построил проект FPGA vivado Zynq, и я хочу написать и прочитать порт Zynq «M_AXI_GP0»...

pico / 11 июня 2019
0 голосов
2 ответов

Я бы поклялся, что в vivado есть ошибка, заключающаяся в том, что он никогда не обновляет...

pico / 07 июня 2019
0 голосов
1 ответ

В моем VHDL у меня есть интерфейс верхнего уровня подчиненного устройства APB и мастер-порт AXI,...

pico / 07 июня 2019
0 голосов
0 ответов

Я пытаюсь создать образ PYNQ для zedboard, следуя инструкциям по файлам pynq doc и github repo.Я...

ambarish / 07 июня 2019
0 голосов
0 ответов
1 голос
3 ответов

Я написал несколько RTL vivado, а затем добавил некоторые атрибуты vhdl к портам сущности, чтобы...

pico / 04 июня 2019
0 голосов
0 ответов

Мой проект заключается в создании звука сирены с использованием платы Digilent Nexys 4 с...

Zoltán Germán-Salló / 03 июня 2019
3 голосов
2 ответов

У меня есть эта функция "cost_compare", которую я хотел бы разгрузить на FPGA для некоторых...

Hooman / 31 мая 2019
0 голосов
2 ответов

Я импортировал свой код VHDL в определенный пользователем блочный дизайн и экспортировал свои...

pico / 30 мая 2019
0 голосов
1 ответ

У меня есть несколько аппаратных IP-адресов, которые мне нужно синтезировать. И IP содержит...

noureddine-as / 29 мая 2019
0 голосов
2 ответов

Я пытаюсь запустить RedPitaya в режиме AMP. Я не нашел много информации о драйвере remoteproc и о...

Waqar Rashid / 22 мая 2019
0 голосов
1 ответ

Я смотрю на пример AXI-DMA от xilinx, и я застреваю в этом месте в XAxiDma_SimplePollExample...

Kampi / 21 мая 2019
0 голосов
0 ответов

Watchpoint не работает в xilinx SDK. Я получаю следующую ошибку: (я не могу загрузить изображения,...

김어진 / 16 мая 2019
1 голос
1 ответ

У меня есть программа, которую я написал, объем памяти которой со временем увеличивается.В конечном...

black_spot1984 / 14 мая 2019
0 голосов
0 ответов

Я работаю над своим школьным проектом и после того, как я закончил его, я понял, что код не...

zTio / 10 мая 2019
0 голосов
0 ответов

Я не знаю, как исправить эту ошибку.Я предполагаю, что я должен где-то найти пути к библиотекам,...

Nancy / 07 мая 2019
0 голосов
1 ответ

Я пытаюсь создать серый счетчик кода, просто посчитав нормальный код и затем преобразовав его в...

Josip Maričević / 04 мая 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...