Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

0 голосов
2 ответов

Я - ньюс-нуб, пытаюсь расшифровать, как строится дерево устройств, из файла определения...

Codemonkey / 13 ноября 2018
0 голосов
1 ответ

В моем проекте данные записываются в BRAM (сгенерированный с помощью IP-генератора Block Ram) с...

Ironil / 12 ноября 2018
0 голосов
1 ответ

Можно ли сгенерировать тактовую частоту 1000 МГц из 100 МГц в VHDL? Я хочу создать счетчик 1 нс, и...

Reza Mohammadi / 12 ноября 2018
0 голосов
0 ответов

Я моделирую мультиплексор x74_151 на Xilinx, но когда я устанавливаю значение «0» и тактовую...

guasabito / 09 ноября 2018
0 голосов
1 ответ

Я пытаюсь разобраться с простым проектом Microblaze и следую учебнику , которую я нашел очень...

Andrew Ellis / 03 ноября 2018
0 голосов
0 ответов

Я только что прошил файл .bit на флэш-память spi моей платы Nexys4 DDR (Artix7). Битовый файл...

dwjbosman / 27 октября 2018
0 голосов
0 ответов

У меня есть симуляция, в которой используется проверочный IP-адрес Xilinx AXI.Тест компилируется и...

Rich Maes / 26 октября 2018
0 голосов
3 ответов

Я написал приведенный ниже код для простого умножения 2 n-битных чисел (здесь n = 16).Он...

Ganesh Prasad B K / 24 октября 2018
0 голосов
0 ответов

Я хочу прочитать данные с KC705 на ПК (через Python) без использования Tera или замазки / без...

Abi / 20 октября 2018
0 голосов
0 ответов

У меня есть код в hls, и я применяю FIR, за которым следует поиск пиков.Я использую shift_register...

Invariance / 19 октября 2018
0 голосов
1 ответ

У меня есть среда тестирования, которую мне нужно портировать на Xilinx Vivado. Какие аналоги...

Bhawandeep Singh / 19 октября 2018
0 голосов
1 ответ

Ну, мой вопрос: как я могу добавить библиотеку lwip в инструмент Xilinx SDK, чтобы использовать ее...

A. S / 18 октября 2018
0 голосов
1 ответ

Я новичок в Verilog, также в FPGA, и в настоящее время я работаю над проектом.Я выполняю блоки...

Thịnh Nguyễn / 15 октября 2018
0 голосов
2 ответов

Этот код является автоматом, являющимся машиной Мура. У Алиссы П. Хакер есть улитка, которая ползет...

VINSON YIP / 14 октября 2018
0 голосов
0 ответов

Я создаю простой дизайн VHDL для Xilinx FPGA.Я пытаюсь создать тестовый стенд для него.Когда я...

Andrew Kuschenko / 13 октября 2018
0 голосов
1 ответ

Редактор директив Vivado HLS предоставляет различные опции для директивы «Ресурс».Можно ли поручить...

sam / 09 октября 2018
0 голосов
0 ответов

Для аппаратной реализации я пытаюсь прочитать непрерывные данные DestinationBuffer в Hercules,...

Abi / 09 октября 2018
0 голосов
0 ответов

Я искал на форумах и не нашел решения своей проблемы, из-за этого я пишу здесь ... Я использовал...

Abi / 04 октября 2018
0 голосов
0 ответов

Мне нужно настроить некоторые выходы в VIO, используя Tcl.В Vivado я могу сделать это, просто введя...

马邦德 / 02 октября 2018
0 голосов
0 ответов

Я делаю симуляцию с помощью Xilinx Blockset, и мне нужно использовать указанный массив, который...

Tuan Anh Do / 02 октября 2018
0 голосов
0 ответов

Я собрал приложение Xilinx SDK Hello World (для RPU Cortex-R5) с использованием IAR, но теперь я...

Realtime Rik / 01 октября 2018
0 голосов
0 ответов

У меня есть часы 80 МГц, сгенерированные из часов Vivado PLL.Я пытаюсь сгенерировать часы с...

Mortada / 27 сентября 2018
0 голосов
2 ответов

Я новичок в Verilog и использую Vivado, чтобы попытаться написать тестовую среду для некоторого...

joasctorb / 27 сентября 2018
0 голосов
1 ответ

Я столкнулся с небольшой проблемой с портами в Verilog.Чтобы протестировать мой модуль, я создал...

Alex / 20 сентября 2018
0 голосов
1 ответ

Я пытаюсь скопировать файл, используя подстановочный знак, и он неправильно интерпретируется. set...

gutelfuldead / 18 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...