Вопросы с тегом Xilinx - PullRequest

Вопросы с тегом Xilinx

0 голосов
0 ответов

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ripplecarry4bit is port( a,b: in std_logic_vector...

DarkPassenger / 02 мая 2018
0 голосов
1 ответ

Я пытаюсь запрограммировать мою цифровую ПЛИС через командную строку vivado. После открытия...

chasep255 / 27 апреля 2018
0 голосов
1 ответ

В настоящее время я пытаюсь изменить конфигурацию ядра (перезаписать конфигурации слоя xilinx-linux...

Logen Sand / 26 апреля 2018
0 голосов
1 ответ

Я использую оценочный комплект Xilinx Zynq UltraScale + MPSoC ZCU102.Я хочу запустить программу C...

Naveen / 24 апреля 2018
2 голосов
1 ответ

Я пытаюсь создать двухуровневый мультиплексор, который содержит два широких мультиплексора.Каждый...

drdot / 10 марта 2012
6 голосов
2 ответов

Как напечатать целочисленное значение со знаком, хранящееся в 8-битном регистре, объявленном как...

Nullpoet / 17 февраля 2012
6 голосов
2 ответов

Код, вызывающий проблемы, выглядит как обычная операция xnor, как показано ниже: S(1) <= L(16)...

Patrick / 14 февраля 2012
1 голос
3 ответов

Мне было интересно, можно ли сгенерировать код VHDL из схемы в xilinx. Я знаю, что обратное...

BugShotGG / 23 января 2012
0 голосов
1 ответ

Привет, я новичок в Linux и FPGA Xilinx, но я пытаюсь прыгнуть прямо обеими ногами. Я пытаюсь...

Frank Dejay / 23 января 2012
0 голосов
2 ответов

В настоящее время я пытаюсь создать экранный буфер в VHDL (для устройства, которое отправляет...

Mathieu C. / 18 января 2012
1 голос
3 ответов

Я не уверен, является ли это правильным веб-сайтом обмена стеками, чтобы разместить это, но если...

Dany Khalife / 02 января 2012
0 голосов
2 ответов

Я новичок в ПЛИС, и мне было интересно, есть ли какой-нибудь источник доступных проектов для...

Kiarash / 28 декабря 2011
0 голосов
2 ответов

У меня есть макросы xilinx для uart (.edn файлы), и я не знаю, как использовать в activehdl когда я...

Black Hawk / 27 декабря 2011
0 голосов
1 ответ

Я написал этот код внутри M Blcok (один из блоков Xilinx в Simulink): function z= discorr(x,y)...

Mohammad Algassim / 20 декабря 2011
2 голосов
2 ответов

У меня проблемы с пониманием того, как я могу предотвратить создание защелок в проекте Verilog. Я...

BSchlinker / 05 декабря 2011
0 голосов
1 ответ

Я использую FPGA Virtex 5 и хочу иметь несколько контактов ввода / вывода +5/0 для связи с...

user1068795 / 05 декабря 2011
1 голос
1 ответ

Я начинаю работать с Synopsl Synplify.Импортируйте мой проект Xilinx ISE (полностью работает)....

fpgaiua / 28 ноября 2011
0 голосов
2 ответов

Я пытаюсь применить краевой фильтр к изображению, используя блоки Xilinx, Я использовал буфер 5x5,...

Mohammad Algassim / 24 ноября 2011
2 голосов
3 ответов

Я работаю в Xilinx Platform Studio, и я хочу, чтобы модуль VHDL вывел некоторые значения, а затем я...

ZacAttack / 19 ноября 2011
2 голосов
2 ответов

Есть ли способ ускорить процесс сборки Xilinx ISE?У меня есть несколько Verilog HDL файлов в моем...

Abu Bakar / 04 ноября 2011
0 голосов
1 ответ

Привет, ребята. У меня есть следующий VHDL, который не выполняет то, что предполагается в...

user968102 / 03 ноября 2011
4 голосов
3 ответов

Я программирую набор команд 8051 в VHDL в Xilinx.После написания логики и генерации сводного отчета...

Saurabh / 28 октября 2011
1 голос
2 ответов

Так что я, возможно, сделал что-то ОЧЕНЬ глупое Я согласился на проект, в котором Roomba...

ZacAttack / 27 октября 2011
2 голосов
2 ответов

Есть ли способ сказать ISE, чтобы синтезировать мой код VHDL / Verilog в комбинационные схемы,...

eqb / 21 октября 2011
1 голос
2 ответов

Можно ли определить что-то вроде метода экземпляра в стиле ООП для записи в VHDL, которая будет...

Andrey Pesoshin / 14 октября 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...