Вопросы с тегом лвп - PullRequest

Вопросы с тегом лвп

2 голосов
2 ответов

Я не могу просмотреть memory с помощью gtkwave: module internal_memory( output [31:0] hrdata, input...

e19293001 / 25 ноября 2011
1 голос
3 ответов

Существует алгоритм динамического программирования для поиска самой длинной общей...

John Tim / 24 ноября 2011
3 голосов
2 ответов

При проектировании схемы в verilog с использованием метода сверху вниз, я могу начать с поведения...

e19293001 / 27 сентября 2011
1 голос
2 ответов

Я новичок в Verilog. Я написал код для преобразования значения провода в целое число: wire [31:0]...

arpmon / 22 сентября 2011
1 голос
4 ответов

[ПРИМЕЧАНИЕ: я искал заранее и не мог найти совет по решению проблемы LCS для всех...

Tony B / 10 сентября 2011
0 голосов
3 ответов

Это вопрос, выпущенный Verilog.Я работаю с XILINX ISE в качестве среды разработки. Я пытаюсь...

Tibio / 07 сентября 2011
1 голос
1 ответ

Я только что создал собственный IP-адрес в Xilinx, он сгенерировал файл user_logic, который мне...

aibk01 / 07 июля 2011
12 голосов
3 ответов

Проблема: Нужна длина LCS между двумя строками. Размер строк не более 100 символов. Алфавит обычный...

Yiannis / 02 июля 2011
2 голосов
2 ответов

У меня есть несколько VHDL-файлов, которые я могу скомпилировать с ghdl в Debian. Одни и те же...

Nakedible / 30 мая 2011
3 голосов
2 ответов

Я пытаюсь создать программу на C ++, которая может различать два файла .txt. struct line { string...

João Santos / 28 мая 2011
26 голосов
3 ответов

В чем разница между: if (dataoutput[7:0] == 8'bx) begin и if (dataoutput[7:0] === 8'bx)...

Miguel A. Friginal / 08 мая 2011
3 голосов
3 ответов

Вы можете сказать, в чем смысл этого всегда @ * Есть ли какие-либо возможные побочные эффекты после...

Miguel A. Friginal / 07 мая 2011
1 голос
2 ответов

module DoorControl( clk, data, open,addressOftheMemory, outp ); localparam Size_ofTheWord = 32;...

Miguel A. Friginal / 02 мая 2011
8 голосов
2 ответов

Вместо использования module ... ( .. ) ; #15 endmodule Я хочу использовать module ... ( ... ) ; //...

Miguel A. Friginal / 01 мая 2011
3 голосов
2 ответов

Как, например, наилучшим образом использовать ретайминг и / или c-slow, чтобы максимально...

mrflibble / 28 апреля 2011
0 голосов
2 ответов

Когда мы используем input reg [7:0] ast, f_out; ast === f_out ; ast <= ast + 8'b00000001;...

Miguel A. Friginal / 12 апреля 2011
2 голосов
2 ответов

module fronter ( arc, length, clinic ) ; input [7:0] arc; output reg [7:0] length ; input [1:0]...

Miguel A. Friginal / 12 апреля 2011
0 голосов
2 ответов

X, Y, Z, T - разные работы.Например, X = мультиплексор (...) if ( empty1 ) if ( empty2 ) if (...

Miguel A. Friginal / 09 апреля 2011
7 голосов
3 ответов

Я нахожусь в процессе изучения VHDL и пытаюсь просто учиться на примерах, руководствах по...

Earlz / 02 апреля 2011
0 голосов
1 ответ

Благодаря вашему вкладу я реализовал ваши предложения, однако проблема осталась прежней.Результат в...

Patrick / 27 февраля 2011
0 голосов
2 ответов

Я пытаюсь реализовать однобитный счетчик, используя структурные VHDL и компоненты.Я получаю...

n-2r7 / 22 февраля 2011
2 голосов
1 ответ

этот вопрос, вероятно, не очень хорошо объясним, и это потому, что я не совсем понимаю, что...

Student / 21 февраля 2011
15 голосов
4 ответов

Я пытаюсь найти самую длинную общую подпоследовательность из 3 или более строк. В статье Википедии...

del / 20 февраля 2011
2 голосов
2 ответов

У меня очень простая проблема, но я не понимаю, что происходит не так. По сути, все это прекрасно...

Patrick / 17 февраля 2011
1 голос
2 ответов

У меня есть этот кусок IP, который должен быть 32-битной адресуемой памятью. Но я не могу сделать...

marlls1989 / 14 февраля 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...