Вопросы с тегом лвп - PullRequest

Вопросы с тегом лвп

0 голосов
1 ответ

У меня есть VHDL конечный автомат.Я создал внутренний TYPE сродни TYPE t_SM_Main IS (s_Idle,...

Tarick Welling / 15 мая 2019
2 голосов
1 ответ

Я ищу алгоритм, который решает проблему LCS для двух строк со следующими условиями: Каждая строка...

Amin Edraki / 14 мая 2019
0 голосов
1 ответ

Я сейчас изучаю язык VHDL, и у меня есть некоторые проблемы с пониманием части кода в моем курсе.Я...

Pavol Kostolanský / 07 мая 2019
0 голосов
0 ответов

Вместо использования $ readmemh для чтения различных больших файлов / помещения данных в 16-битные...

Adrian3 / 14 апреля 2019
2 голосов
2 ответов

Я пытаюсь решить проблему ДНК, которая является более улучшенной (?) Версией проблемы LCS.В задаче...

Choi Yeong / 13 апреля 2019
0 голосов
0 ответов

Мне нужно отправить сигнал с помощью доски, которая включает в себя Zynq. Я создал пользовательское...

RiccardoB / 11 апреля 2019
5 голосов
1 ответ

Я написал одноцилиндровый ЦП в Chisel3, который реализует большинство инструкций RV32I (кроме CSR,...

dannebra / 09 апреля 2019
2 голосов
1 ответ

Когда я читаю из Chisel wiki , можно объявить несколько тактовых доменов в одном модуле. Но если...

FabienM / 08 апреля 2019
0 голосов
1 ответ

Я новичок в ModelSim и Verilog modelsim не заботится о моем объявлении имени, и каждое имя для...

mehran arbabian / 04 апреля 2019
0 голосов
1 ответ

Создайте счетчик 1-12 со следующими входами и выходами: Сброс Синхронный сброс активного высокого...

Galiei / 30 марта 2019
0 голосов
2 ответов

Как видно из заголовка, у меня есть задача найти самую длинную повторяющуюся последовательность в...

Daniel / 29 марта 2019
1 голос
3 ответов

Я знаю, что блок всегда будет срабатывать при изменении любого из элементов в своем списке...

user281270 / 28 марта 2019
3 голосов
1 ответ

Я использую CocoTB для тестирования моего дизайна HDL, но, как я понимаю, его можно использовать с...

FabienM / 27 марта 2019
0 голосов
1 ответ

Когда я пытаюсь смоделировать модуль с помощью редактора сигналов моделирования Quartus prime,...

alexanderd5398 / 25 марта 2019
0 голосов
1 ответ

Я пытаюсь скомпилировать этот код, используя GHDL, и получаю ошибку: вместо 'not' ожидается '=>'.Я...

renshencha / 17 марта 2019
1 голос
1 ответ

Я прочитал несколько книг по Алгоритмам, где сказано, что метод грубой силы Самая длинная общая...

Shahriar Mim / 17 марта 2019
0 голосов
0 ответов

В настоящее время я работаю над проектом класса для создания торгового автомата FSM с...

eagerbeaverz / 13 марта 2019
0 голосов
0 ответов

Для тех, кто не знает проблемы: Учитывая две последовательности, найдите длину самой длинной...

omrib40 / 10 марта 2019
0 голосов
0 ответов

Я изучаю Python, выполняя упражнения, а затем «нажимая» в разных направлениях, например,...

JPB / 10 марта 2019
0 голосов
0 ответов

Код печатает самую длинную общую подпоследовательность.Я сделал это с памяткой.Но ответ неверный

noobie / 04 марта 2019
0 голосов
1 ответ

Я делаю вводный проект Verilog для класса.В моем коде я использую несколько датчиков (Sensor_1,...

RErik / 02 марта 2019
0 голосов
0 ответов

В настоящее время я занимаюсь разработкой части RS-232 / UART моего процессора и решил разработать...

John the Almost-Genius / 02 марта 2019
0 голосов
1 ответ

Я реализовал действительные / готовые сигналы рукопожатия в Verilog.Я просто хотел знать,...

Muhammad Atif / 01 марта 2019
0 голосов
2 ответов

Я изучаю дизайн процессора и основы Verilog HDL.У меня есть процессор, работающий в tkgate на...

John the Almost-Genius / 27 февраля 2019
0 голосов
1 ответ

Я понял, что комплимент 2 в одном утверждении verilog (как видно из '' ниже) дает неправильный...

O.K. / 24 февраля 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...