Вопросы с тегом лвп - PullRequest

Вопросы с тегом лвп

0 голосов
1 ответ

Я пытаюсь использовать Scheme для реализации алгоритма LCS, но есть ошибка. (определить X (список #...

Evergreen Huaizhi / 01 июля 2018
0 голосов
3 ответов

Вот два похожих блока ограничений, один из которых записан с использованием десятичной записи, а...

evilpascal / 27 июня 2018
0 голосов
1 ответ

Я пытаюсь смоделировать следующий код для асинхронного оперативной памяти в Verilog.Но dout...

Priya Mittal / 23 июня 2018
0 голосов
1 ответ

Код синтезирован правильно, но когда я пытаюсь смоделировать его, только lda изменяется с 0 на 1....

Priya Mittal / 23 июня 2018
0 голосов
1 ответ

У меня есть вложенный список: lists =[['a','b','c'], ['a'...

Alex Nikitin / 13 июня 2018
0 голосов
3 ответов

Я хочу создать счетчик, который может начать отсчет с заданного сигнала.И как только он подсчитает...

马邦德 / 08 июня 2018
0 голосов
1 ответ

У меня есть модуль Verilog с входом и выходом револьвера module Foo #( parameter DATA_BITS = 32,...

I. Aguilar / 04 июня 2018
0 голосов
2 ответов

В некоторых задачах НЛП у меня есть вложенный список строк: [['Start',...

Alex Nikitin / 04 июня 2018
0 голосов
1 ответ

У меня есть два часа, clk0 и clk1.Я хочу, чтобы счетчик рассчитывал на позицию clk0, но сбрасывал...

Harshavardhan Ramanna / 01 июня 2018
0 голосов
1 ответ

Я написал коды для модуля генератора шаблонов и модуля проверки ошибок в Verilog.Модуль генератора...

Akhil Singh / 28 мая 2018
0 голосов
1 ответ

Я пытаюсь реализовать игру на угадывание на плате ALTERA DE2, используя Verilog HDL.Игрок 1...

Seung Joon Park / 26 мая 2018
0 голосов
1 ответ

В Verilog / VHDL, скажем, у меня есть 4-битный счетчик и флаг, который должен быть установлен,...

David / 17 мая 2018
0 голосов
1 ответ

У меня быстрый вопрос: Есть ли разница между этими двумя и (O1, input1, input2); всегда (O1 или...

user9753119 / 13 мая 2018
0 голосов
0 ответов

У меня есть этот список mylist = list(structure(c(1L, 2L, 4L, 6L, 7L, 8L, 11L, 13L, 14L, 16L), env...

SumitArya / 10 мая 2018
0 голосов
1 ответ

Я работаю с функцией MATLAB, которая использует числа в двоичной базе. Для этого он использует...

P.V. / 08 мая 2018
0 голосов
2 ответов

Как создать фиксированный многомерный массив в Specman / e, используя переменные ? А затем получить...

evilpascal / 06 мая 2018
3 голосов
1 ответ

Я только начинаю изучать Verilog самостоятельно после прохождения курса по VHDL.У меня проблемы с...

Chris Morin / 31 марта 2012
4 голосов
2 ответов

Я пытаюсь смоделировать простой процессор MIPS, используя код поведения в Verilog. Я закончил...

Eng.Fouad / 27 марта 2012
2 голосов
1 ответ

Я заметил, что Verilog округляет мои действительные числа в целочисленные результаты.Например,...

Amadeus Bachmann / 17 марта 2012
1 голос
1 ответ

Вот мой код: module MIPS_Processor(); reg [7:0] mem [0:4095]; // 4K memory cells that are 8 bits...

Eng.Fouad / 17 марта 2012
10 голосов
2 ответов

Я пытаюсь создать многоступенчатый компаратор в verilog, и я не могу понять, как увеличить...

Adam / 05 марта 2012
2 голосов
1 ответ

Здравствуйте, мне было интересно, как в действительности работает объявление сигналов в VHDL

BugShotGG / 20 февраля 2012
0 голосов
1 ответ

Я пытаюсь создать простой 8-битный комплемент 2.Вот мой код: twos_complement_of_8bits.v //`include...

Eng.Fouad / 09 февраля 2012
0 голосов
2 ответов

Я использую systemC с Visual C ++ 2008. Я написал простую программу hello world. Однако я получаю...

newbie / 28 января 2012
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...