Вопросы с тегом лвп - PullRequest

Вопросы с тегом лвп

0 голосов
1 ответ

Я новичок в SystemVerilog и в проекте, над которым я работаю, я наткнулся на следующую декларацию...

l.voe / 18 февраля 2019
0 голосов
1 ответ

Я столкнулся с ошибкой с моим VHDL-кодом.Я использую программное обеспечение ModelSim для него. Я...

Ali Raza / 16 февраля 2019
0 голосов
1 ответ

Сигнал driver_a переназначается в блоке Always обратно на 0, но почему блок Always не активируется...

TheSprintingEngineer / 09 февраля 2019
0 голосов
0 ответов

Я хочу вернуть все LCS, найденные в конце, в виде списка Я могу напечатать все возможные LCS public...

Sunit Mishra / 08 февраля 2019
0 голосов
1 ответ

Я борюсь с ошибкой «Диапазон должен быть постоянным», когда я думаю, что это так! Операция, которую...

Stefano / 31 января 2019
0 голосов
1 ответ

Среди нескольких решений dp для этого вопроса, более простое решение - обратить заданную строку и...

Julkar9 / 24 января 2019
0 голосов
2 ответов

очень простой вопрос, но хочу знать для разбора: Являются ли эти две структуры одинаковыми в...

zaki / 22 января 2019
0 голосов
0 ответов
0 голосов
1 ответ

Я написал алгоритм самой длинной общей подпоследовательности в функции PL / SQL. Мне нужна только...

Sanjukta Dey / 09 января 2019
0 голосов
1 ответ

У меня есть два идентичных (с помощью моделирования) процесса триггера в verilog. Первое - это...

J. Doe / 08 января 2019
0 голосов
0 ответов

Здесь здесь написано В AHDL операторы умножения выполняют операции умножения и деления...

sashaaero / 21 декабря 2018
0 голосов
1 ответ

Я делаю часы с переменной частотой на AHDL.Алгоритм таков: один счетчик (триггер) считает от 0 до x...

sashaaero / 14 декабря 2018
0 голосов
0 ответов

При импорте автономного проекта XPS в Synplify возникает следующая ошибка: @E: ERROR: Editing BMM...

Vlad / 11 декабря 2018
0 голосов
0 ответов

Итак, проблема в двух словах: Мне нужно выполнить «Longest Common Subsequence» на трассировке...

MoeKav / 10 декабря 2018
0 голосов
0 ответов

Мой проект содержит MicroBlaze, несколько шин AXI4 Lite / Full на XPS в проекте ISE.В ISE...

Vlad / 09 декабря 2018
0 голосов
1 ответ

Я хотел загрузить память из файла, используя функции, описанные в этой вики-странице долота .Но это...

FabienM / 07 декабря 2018
0 голосов
0 ответов
0 голосов
2 ответов

У меня сильный опыт Verilog и цифрового дизайна.Сейчас я в состоянии быстро освоить VHDL,...

user2958473 / 03 декабря 2018
0 голосов
1 ответ

Я пытаюсь выучить правильное / готовое рукопожатие в verilog.В частности, мне интересно...

M.X / 02 декабря 2018
0 голосов
1 ответ

В настоящее время я работаю над дизайном процессора, в котором я хочу сравнить различные...

programonkey / 29 ноября 2018
0 голосов
0 ответов

У меня есть следующий код на Java: public static int Secret(String a, String b, int x, int y){ if...

Higor / 28 ноября 2018
0 голосов
1 ответ

Я пытаюсь написать базовую схему в Verilog, используя Quartus Prime в качестве побочного проекта...

bpryan / 27 ноября 2018
0 голосов
1 ответ

Я пытался сделать простого Мастера в Верилоге.На данный момент он должен просто отправить адрес...

Tobias / 26 ноября 2018
0 голосов
1 ответ

parameter N = 4, FOO = { N { 4'd1 } }; //And then in the generate loop genvar i; for( i = 0; i...

Vlad / 23 ноября 2018
0 голосов
2 ответов

... 'define ZERO_INIT2D(VECT,SD_WIDTH) for(integer i=0;i<(SD_WIDTH);i=i+1) (VECT)[i]=0; ...`...

Vlad / 20 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...