Вопросы с тегом лвп - PullRequest

Вопросы с тегом лвп

0 голосов
1 ответ

будет ли блок always без списка чувствительности выводить комбинационную логику, точно так же, как...

TheSprintingEngineer / 18 ноября 2018
0 голосов
1 ответ

Есть ли способ сделать взвешенную рандомизацию в System Verilog на основе данных времени выполнения

Krishna / 18 ноября 2018
0 голосов
1 ответ

Мне нужно инициализировать массивы в сгенерированных экземплярах через блок генерации в Verilog.Я...

yildizabdullah / 16 ноября 2018
0 голосов
2 ответов
0 голосов
0 ответов
0 голосов
0 ответов

Эта функция инициализирует массивы C [m + 1] [n + 1] и B [m] [n] и заполняет первую строку и первый...

Ayaan / 14 ноября 2018
0 голосов
2 ответов

Цель состоит в том, чтобы убедиться, что введенный пользователем ввод для строки 1 и строки 2...

ConfusedProgrammer / 14 ноября 2018
0 голосов
1 ответ

Я работал в течение последних нескольких дней в программе Longest Common Subsequence на C,...

Erodotos Demetriou / 13 ноября 2018
0 голосов
2 ответов

Я слышал, что основное различие между защелками и триггерами заключается в том, что защелки...

Crazy_Boy53 / 11 ноября 2018
0 голосов
2 ответов

Определяет ли стандарт языка VHDL поведение условий проверки в операторе if в следующей ситуации:...

J. Doe / 26 октября 2018
0 голосов
3 ответов

Я написал приведенный ниже код для простого умножения 2 n-битных чисел (здесь n = 16).Он...

Ganesh Prasad B K / 24 октября 2018
0 голосов
1 ответ

Я хочу проверить, является ли сигнал «a» высоким до тех пор, пока не будет подтвержден сигнал «b»....

cryptoKay / 23 октября 2018
0 голосов
0 ответов

Мне нужно настроить некоторые выходы в VIO, используя Tcl.В Vivado я могу сделать это, просто введя...

马邦德 / 02 октября 2018
0 голосов
1 ответ

Кажется, что я могу использовать безразлично

FabienM / 23 сентября 2018
0 голосов
1 ответ

Имея сложную иерархию модулей (многие из которых создаются при генерации), мне нужно получить...

Marc T. / 16 сентября 2018
0 голосов
1 ответ

Я пытаюсь создать самодельный пакет для проекта VHDL, используя Vivado, и у меня возникает ошибка,...

phlie / 15 сентября 2018
0 голосов
1 ответ

Какими должны быть индексные диапазоны параметра init в этом случае: parameter zero = 0; parameter...

J. Doe / 12 сентября 2018
0 голосов
2 ответов

1-1 В чем разница во времени задержки основных логических элементов? Я обнаружил, что вентили NAND...

Chillax / 09 сентября 2018
0 голосов
0 ответов

Я изо всех сил пытаюсь понять, как протокол FIX может быть записан и выполнен из FPGA, который...

p.luck / 04 сентября 2018
0 голосов
1 ответ
0 голосов
1 ответ

Я пишу код verilog для 4-битной S R защелки. Я считал защелку асинхронной. Поэтому я не включил...

Subhadip / 03 сентября 2018
0 голосов
2 ответов

Я пишу код verilog для 4-битного вычитателя сумматора. Я использую структурный дизайн. Сначала я...

Subhadip / 02 сентября 2018
0 голосов
2 ответов

Я понимаю работу FIFO, но мне кажется, что я что-то упускаю из-за его полезности. При реализации...

jakedaly / 29 августа 2018
0 голосов
1 ответ

В следующем коде Verilog testbench я получаю вывод на монитор от времени = 0 до времени = 30, но...

user120908 / 04 июля 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...