Вопросы с тегом увм - PullRequest

Вопросы с тегом увм

0 голосов
0 ответов

В UVM есть предопределенные уровни детализации: UVM_DEBUG UVM_FULL UVM_HIGH UVM_MEDIUM UVM_LOW...

GorchM / 21 октября 2019
1 голос
1 ответ

Я пытаюсь понять код драйвера UVM, определенный в примере UVM env "verifyguide.com": https://www

Aurora.mn / 20 октября 2019
2 голосов
1 ответ

Как определить точность шкалы времени, использованную в симуляции, из исходного кода? Предположим,...

Sreejin TJ / 01 октября 2019
0 голосов
1 ответ

Я хочу записать $ финиш в uvm_component.Я имею в виду, что мой uvm_component должен выполнять...

Murali Krishna / 25 сентября 2019
0 голосов
1 ответ

Я разрабатываю агент UVM для протокола, в котором транзакции rx и tx передаются по одним и тем же...

VerificationEng / 22 сентября 2019
1 голос
1 ответ

Может кто-нибудь объяснить мне, почему нам нужен импорт функций DPI-C для выполнения функций,...

user1978273 / 22 сентября 2019
1 голос
2 ответов

Есть ли способ узнать в моей верхней части тестового стенда о текущей фазе иерархии UVM ?.Поскольку...

Sudhir Saligrama / 11 июля 2019
0 голосов
0 ответов

Я пытаюсь реализовать поддержку параметризованного интерфейса, как описано здесь . Я построил...

0 голосов
0 ответов

Я заметил, что у каждого класса uvm_reg есть локальный семафор m_atomic, который инициализируется в...

user1978273 / 10 июля 2019
1 голос
1 ответ

Я создаю UVM VIP, который может переключать полярность часов.В интерфейсе используется тактовый...

AldoT / 09 июля 2019
0 голосов
1 ответ

Следующий код имеет два класса - пакет и пакет_1;Класс пакета имеет свойства длины и режима, класс...

Grace90 / 05 июля 2019
0 голосов
3 ответов

У меня есть ситуация, когда у меня есть около 100000 регистров в блоке uvm_reg_block.У меня есть...

user1978273 / 30 июня 2019
0 голосов
2 ответов

Допустим, у меня есть виртуальная последовательность (vseq), подключенная к виртуальному секвенсору...

user1978273 / 29 июня 2019
1 голос
1 ответ

Для сброса отдельных агентов тестовой среды я пытаюсь перенести их в отдельные домены.Однако я...

0 голосов
1 ответ

Предположим, у меня есть следующее утверждение. Теперь я хочу, чтобы сигнал А оставался стабильным...

the freethinker / 26 июня 2019
0 голосов
1 ответ

Я устанавливаю новый код UVM и хочу сделать код OOMR в своем коде uvm. Нужно ли избегать концепции...

al01 / 26 июня 2019
0 голосов
2 ответов

Я изучаю UVM и смущен тем, как получить доступ к переменным последовательности. Например, вот...

Yong Chen / 19 июня 2019
1 голос
2 ответов

Я новичок в UVM и пытаюсь проверить схему памяти, в которой я пытаюсь выполнить последовательность...

Grace90 / 19 июня 2019
0 голосов
1 ответ

У меня есть массив интерфейсов.Я знаю, что массив экземпляров и интерфейсов не похож на обычные...

the freethinker / 19 июня 2019
0 голосов
1 ответ

Раздел 12.2.8 IEEE UVM говорит о uvm_tlm_fifo классах.Мне было интересно, зачем нам нужны экспорты...

justrajdeep / 18 июня 2019
1 голос
1 ответ

Глядя на базовые классы uvm, я заметил, что метод uvm_sequence_item get_type_name не определен как...

Viktorinox / 14 июня 2019
0 голосов
1 ответ

Я бесполезно пытался справиться с постоянным предупреждением, которое я получаю со следующим...

El_Gahaf / 11 июня 2019
0 голосов
1 ответ

Я собираюсь протестировать сложный модуль, который имеет интерфейсы axi4-stream и apb в качестве...

0 голосов
1 ответ

Я написал тестовую среду axi4_stream.Это хорошо работает, но теперь мне нужно протестировать дизайн...

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...