Вопросы с тегом verilog - PullRequest

Вопросы с тегом verilog

3 голосов
2 ответов

Если у меня есть оператор if, например: if(risingEdge && cnt == 3'b111) begin ... end...

samoz / 12 июля 2010
3 голосов
1 ответ

Я пытаюсь написать синтезируемый 3D растеризатор в Verilog / SystemVerilog. Растеризатор в...

Guilherme Vieira / 05 июля 2010
0 голосов
1 ответ

Я написал счетчик в Verilog, а затем тестовый стенд для его проверки.Мой тестовый стенд дает...

obtur / 30 июня 2010
34 голосов
3 ответов

Как объявить и использовать байтовые массивы 1D и 2D в Verilog? например. как сделать что-то вроде...

Ursa Major / 10 июня 2010
3 голосов
3 ответов

Если у меня есть 32-разрядное число с двумя дополнительными числами, и я хочу знать, какой самый...

aherlambang / 06 мая 2010
2 голосов
4 ответов

Я перевел следующий код, используя троичный.Однако я знал, что с этим что-то не так.Может...

aherlambang / 05 мая 2010
1 голос
1 ответ

У меня есть код модуля ниже always @(posedge Clk) begin ForwardA = 0; ForwardB = 0; //EX Hazard if...

aherlambang / 05 мая 2010
1 голос
1 ответ

Это файл стимулов: module final_stim; reg [7:0] in,in_data; reg clk,rst_n,rd,wr,rd_data,wr_data;...

anum / 03 мая 2010
4 голосов
6 ответов

В (обычном) программном обеспечении я работал в компаниях, где опция gcc -Wall используется для...

Brian Carlton / 27 апреля 2010
0 голосов
1 ответ

Как я могу переписать код, приведенный ниже, чтобы мне не нужно было иметь дополнительные правила.Я...

aherlambang / 22 апреля 2010
3 голосов
4 ответов

Я получаю предупреждение: Один или несколько сигналов отсутствуют в список чувствительности блока...

aherlambang / 22 апреля 2010
1 голос
1 ответ

Я написал что-то маленькое в verilog: `define LW 6'b100011 `define SW 6'b101011 parameter...

Kostas / 20 апреля 2010
2 голосов
2 ответов

У меня очень длинная карта портов, где я хочу заменить группу SignalName[i], с

Adam / 16 апреля 2010
0 голосов
2 ответов

Я сделал поведенческую симуляцию своего кода, и она отлично работает. Результаты соответствуют...

chester.boo / 12 марта 2010
1 голос
1 ответ

Я использовал coregen для разработки ядра делителя. Вот шаги, которые я пытался использовать этот...

chester.boo / 05 марта 2010
5 голосов
1 ответ

Возникла проблема, связанная с внедрением байта загрузки в канал данных с одним циклом без...

aherlambang / 04 марта 2010
3 голосов
3 ответов

Как вы все, возможно, знаете, что набор инструкций MIPS поддерживает clz (отсчет, ведущий ноль)...

aherlambang / 03 марта 2010
2 голосов
3 ответов

У меня есть привычка разрабатывать множество тестовых программ и использовать циклы for () и while...

chester.boo / 02 марта 2010
3 голосов
2 ответов

У меня есть следующий модуль: module add_8bit ( output wire co, output wire [7:0] r, input wire ci,...

Cheetah / 26 февраля 2010
3 голосов
1 ответ

Например module top debouncer debouncer(...); endmodule module debouncer ... endmodule Могу ли я...

Steven / 23 февраля 2010
2 голосов
3 ответов

Я использую ключевое слово параметра для определения состояния, т. Е. RESET = 5'b00000. Если я хочу...

Stuart / 20 февраля 2010
4 голосов
6 ответов

Извините за вопрос новичка. Я пытаюсь узнать о программировании на ПЛИС. Прежде чем потратить $ 1K...

anon / 18 февраля 2010
6 голосов
1 ответ

У меня есть 256-битное значение в Verilog: reg [255:0] val; Я хочу определить системную задачу $...

pheaver / 17 февраля 2010
3 голосов
2 ответов

Я создаю чип, используя verilog. У меня есть 3-битный счетчик. Я хочу, чтобы когда счетчик...

Biswajyoti Das / 12 февраля 2010
0 голосов
2 ответов

У меня есть провод глубиной около 4-х уровней, и я действительно не хочу, чтобы его распространяли...

Adam / 01 февраля 2010
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...