Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

0 голосов
1 ответ

Как мне смоделировать этот vhdl-код на ISim 12.3? Я знаю, что это работает, потому что я загрузил в...

BRabbit27 / 05 декабря 2011
0 голосов
2 ответов

Я пытаюсь реализовать подписанный аккумулятор с использованием Core Gen в Xilinx. Согласно моему...

dawnoflife / 03 декабря 2011
0 голосов
1 ответ

У меня есть приложение, которое создает экземпляр микропроцессора, который мы разрабатываем на...

BRabbit27 / 03 декабря 2011
1 голос
3 ответов

Может кто-нибудь объяснить мне, как именно множитель конвейера работает в VHDL?Я понимаю, что он...

dawnoflife / 30 ноября 2011
1 голос
0 ответов

Я Tetramax Newby, и я пытаюсь получить меру вектора функциональной проверки нагрузки покрытия...

Stefano / 30 ноября 2011
1 голос
1 ответ

Это вопрос об Альтера Квартус. Предположим, у меня есть файл PDF с несколькими объектами. У каждой...

vsushkov / 29 ноября 2011
1 голос
1 ответ

Я очень плохо знаком с VHDL, и есть проблема, с которой я не могу полностью разобраться. Я пытаюсь...

MikeMania / 29 ноября 2011
2 голосов
1 ответ

Я пытаюсь применить огибающую затухания к синусоидальной форме волны, используя Verilog.Аппаратные...

user1068795 / 28 ноября 2011
1 голос
1 ответ

Я начинаю работать с Synopsl Synplify.Импортируйте мой проект Xilinx ISE (полностью работает)....

fpgaiua / 28 ноября 2011
0 голосов
1 ответ

Мне нужно создать код VHDL для этой ситуации: ** Нарисуйте схему управления, которая генерирует...

user1067268 / 27 ноября 2011
2 голосов
3 ответов

Я работаю в Xilinx Platform Studio, и я хочу, чтобы модуль VHDL вывел некоторые значения, а затем я...

ZacAttack / 19 ноября 2011
1 голос
4 ответов

Я пытаюсь выучить VHDL и пытаюсь сделать 4-битную проверку четности. Идея состоит в том, что биты...

user1054844 / 19 ноября 2011
0 голосов
1 ответ

Это полный код library ieee; use ieee.std_logic_1164.all; entity move_key_detector is PORT( clk :...

CppLearner / 19 ноября 2011
0 голосов
1 ответ

Я создал банк регистров с двумя портами в VHDL, и я хочу проверить его, чтобы убедиться, что он...

Caleb Jares / 17 ноября 2011
0 голосов
2 ответов

Я изучаю VHDL для программирования FPGA, базовых (но трудных для меня) проектов.У меня есть это АЛУ

BRabbit27 / 17 ноября 2011
1 голос
1 ответ

Я пытался обойти это, но потерпел неудачу. Как ни странно, точно такой же код в другом файле моего...

Nektarios / 14 ноября 2011
0 голосов
1 ответ

Я знаю, что это довольно печально известная тема, но ни одно из обычных решений, похоже, не...

Nektarios / 13 ноября 2011
1 голос
1 ответ

У меня есть переменное число модулей, связанных с другим модулем через signal bus :...

Ali Lown / 09 ноября 2011
0 голосов
2 ответов

Я программирую на VHDL и пытаюсь настроить LCD. Мои вопросы касаются синхронизации ЖК-интерфейса и...

BRabbit27 / 08 ноября 2011
0 голосов
1 ответ

Я пытаюсь реализовать инициализацию LCD спартанского 3AN.Я очень новичок в этом, поэтому каждый...

BRabbit27 / 05 ноября 2011
1 голос
2 ответов

Это проблема, с которой я регулярно сталкиваюсь - надеюсь, кто-то сможет прояснить мне правильный...

sdaau / 04 ноября 2011
2 голосов
2 ответов

VHDL предоставляет два основных типа объектов для хранения данных, namel signal и variable, но я не...

Joel B / 04 ноября 2011
0 голосов
1 ответ

Привет, ребята. У меня есть следующий VHDL, который не выполняет то, что предполагается в...

user968102 / 03 ноября 2011
0 голосов
1 ответ

Учитывая, что первый вопрос прошел так хорошо, все еще нужна небольшая помощь, опять же, это для...

joethecoder / 03 ноября 2011
2 голосов
3 ответов

type dmemSpace is array(0 to 1023) of std_logic_vector(31 downto 0); signal dataMem : dmemSpace := (...

Useless Intern / 03 ноября 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...