Как мне смоделировать этот vhdl-код на ISim 12.3? Я знаю, что это работает, потому что я загрузил в...
Я пытаюсь реализовать подписанный аккумулятор с использованием Core Gen в Xilinx. Согласно моему...
У меня есть приложение, которое создает экземпляр микропроцессора, который мы разрабатываем на...
Может кто-нибудь объяснить мне, как именно множитель конвейера работает в VHDL?Я понимаю, что он...
Я Tetramax Newby, и я пытаюсь получить меру вектора функциональной проверки нагрузки покрытия...
Это вопрос об Альтера Квартус. Предположим, у меня есть файл PDF с несколькими объектами. У каждой...
Я очень плохо знаком с VHDL, и есть проблема, с которой я не могу полностью разобраться. Я пытаюсь...
Я пытаюсь применить огибающую затухания к синусоидальной форме волны, используя Verilog.Аппаратные...
Я начинаю работать с Synopsl Synplify.Импортируйте мой проект Xilinx ISE (полностью работает)....
Мне нужно создать код VHDL для этой ситуации: ** Нарисуйте схему управления, которая генерирует...
Я работаю в Xilinx Platform Studio, и я хочу, чтобы модуль VHDL вывел некоторые значения, а затем я...
Я пытаюсь выучить VHDL и пытаюсь сделать 4-битную проверку четности. Идея состоит в том, что биты...
Это полный код library ieee; use ieee.std_logic_1164.all; entity move_key_detector is PORT( clk :...
Я создал банк регистров с двумя портами в VHDL, и я хочу проверить его, чтобы убедиться, что он...
Я изучаю VHDL для программирования FPGA, базовых (но трудных для меня) проектов.У меня есть это АЛУ
Я пытался обойти это, но потерпел неудачу. Как ни странно, точно такой же код в другом файле моего...
Я знаю, что это довольно печально известная тема, но ни одно из обычных решений, похоже, не...
У меня есть переменное число модулей, связанных с другим модулем через signal bus :...
Я программирую на VHDL и пытаюсь настроить LCD. Мои вопросы касаются синхронизации ЖК-интерфейса и...
Я пытаюсь реализовать инициализацию LCD спартанского 3AN.Я очень новичок в этом, поэтому каждый...
Это проблема, с которой я регулярно сталкиваюсь - надеюсь, кто-то сможет прояснить мне правильный...
VHDL предоставляет два основных типа объектов для хранения данных, namel signal и variable, но я не...
Привет, ребята. У меня есть следующий VHDL, который не выполняет то, что предполагается в...
Учитывая, что первый вопрос прошел так хорошо, все еще нужна небольшая помощь, опять же, это для...
type dmemSpace is array(0 to 1023) of std_logic_vector(31 downto 0); signal dataMem : dmemSpace := (...