Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

2 голосов
2 ответов

Я кодирую 4-битный двоичный сумматор с аккумулятором: library ieee; use ieee.std_logic_1164.all;...

n a / 01 декабря 2009
0 голосов
1 ответ

Как я могу прочитать данные из rom_type? entity my_rom is port( addr: in std_logic_vector(3 downto...

user222094 / 01 декабря 2009
2 голосов
2 ответов

Рассмотрим: процесс (а) Согласно тексту у меня есть: Процесс впервые вводится во время...

n a / 30 ноября 2009
1 голос
2 ответов

Как отправить данные, представленные двоичной строкой (например, "01011101000100111",...

Miguel A. Friginal / 27 ноября 2009
2 голосов
6 ответов

Я всегда хотел создать свой собственный микропроцессор. Я прочитал Как я могу создать свой...

Earlz / 26 ноября 2009
1 голос
2 ответов

В настоящее время я пишу 32-битный ALU (Add / Sub) в VHDL. У меня проблема с битом переполнения. Я...

Andre / 16 ноября 2009
31 голосов
8 ответов

Я посмотрел в Интернете, и обсуждения / примеры, кажется, для традиционной разработки программного...

Brian Carlton / 27 октября 2009
2 голосов
2 ответов

Я реализую весь набор инструкций 8051 в VHDL с нуля. Большинство вещей прошло хорошо, но наткнулся...

Viet / 27 октября 2009
1 голос
2 ответов

Я работаю с множителем 4 x 4 бита и получаю это сообщение об ошибке "Ошибка (10500): синтаксическая...

Adam Warnock / 26 октября 2009
4 голосов
4 ответов

Я пытаюсь протестировать компонент VHDL , но, похоже, я не могу получить этот один входной порт,...

Tore / 02 октября 2009
4 голосов
1 ответ

Мне нужен триггер, который реагирует на края двух разных сигналов. Примерно так:...

giroy / 19 августа 2009
12 голосов
6 ответов

Что здесь происходит? Почему я получаю «несоответствие типа аргумента оператора» и что я могу...

Marty / 13 мая 2009
4 голосов
3 ответов

Я относительно новичок в VHDL. Я пытаюсь написать код для умножения без знака, используя комбинацию...

Buzkie / 11 марта 2009
1 голос
3 ответов

У меня есть следующий код для подсчета до 59. Он начинается отлично, но после 31 начинает...

Arnkrishn / 01 марта 2009
2 голосов
4 ответов

Как я могу имитировать поведение стека или очереди в VHDL? Есть указатели? Я думал об использовании...

Arnkrishn / 28 февраля 2009
2 голосов
2 ответов

У меня есть некоторый код VHDL, который я пишу для класса. Однако инструмент синтеза идентифицирует...

Paul Nathan / 19 февраля 2009
2 голосов
1 ответ

Я отправил ответ на другой вопрос о стеке , который требует, чтобы некоторая цифровая логика была...

e.James / 28 января 2009
9 голосов
9 ответов

Рассмотрим следующую проблему. У вас есть битовая строка, которая представляет текущего...

Eli Bendersky / 26 января 2009
1 голос
2 ответов

В c (встроенный) плагин может быть реализован путем определения таблицы указателей функций и...

JeffV / 19 декабря 2008
16 голосов
6 ответов

В течение многих лет я работал над рядом проектов на основе микроконтроллеров; в основном с...

Brandon Fosdick / 17 декабря 2008
6 голосов
4 ответов

Я использую Altera Quartus 2 для создания собственного 8-битного процессора, и его сборка на моем...

Hoffmann / 10 декабря 2008
4 голосов
3 ответов

Для среднесрочного университетского проекта мне нужно спроектировать конфигурируемый процессор,...

kjv / 23 октября 2008
14 голосов
3 ответов

Как вы объединяете биты в VHDL? Я пытаюсь использовать следующий код: Случай b0 & b1 & b2 & b3 ......

Zain Rizvi / 16 октября 2008
3 голосов
10 ответов

Я разработчик-самоучка. Я в основном использую AVR, запрограммированные на C и ASM, но я баловался...

jeremy / 07 октября 2008
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...