Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

0 голосов
2 ответов

У меня есть этот код VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;...

JC2 / 08 февраля 2011
2 голосов
2 ответов

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_1164_unsigned.all; ENTITY alu IS PORT...

Tomas / 07 февраля 2011
1 голос
1 ответ

В Руководстве дизайнера по VHDL в главе 6.2 приведен объект и тело архитектуры для преобразования...

JC2 / 03 февраля 2011
5 голосов
3 ответов

Что значит сделать отрицание битового вектора в VHDL? Например, если у меня есть 10100111, который...

JC2 / 03 февраля 2011
4 голосов
1 ответ

У меня возникает следующая проблема при доступе к массивам в VHDL: Скажем, у меня есть массив не...

Klowse / 03 февраля 2011
1 голос
3 ответов

У меня есть следующая простая процедура добавления двух чисел: procedure add_elements ( x : in...

Patrick / 02 февраля 2011
2 голосов
3 ответов

У меня есть вопрос, касающийся надежности логического вывода блока памяти Xilinx ISE. У меня не...

JCLL / 01 февраля 2011
1 голос
1 ответ

Возможно ли добавить условные присвоения к сигналу из блока 'with select'. например. with state...

Cogsy / 28 января 2011
1 голос
2 ответов

Я недавно обновился до Modelsim 10, и когда я перекомпилировал весь свой код, скомпилировали только...

sj755 / 26 января 2011
12 голосов
3 ответов

Внезапно я понял, что в Mac OS X нет ни Altera Quartus, ни Xilins ISE, ни ModelSim. Что люди...

Vladimir Keleshev / 25 января 2011
7 голосов
2 ответов

Я хочу создать декодер адресов, который будет достаточно гибким для меня, чтобы использовать его...

Erick Tejada / 25 января 2011
0 голосов
3 ответов

Я установил ghdl в Ubuntu 10.10 (используя apt-get из репозитория) и использовал пример hello_world...

Neel Mehta / 24 января 2011
0 голосов
2 ответов

В windows я знаю, что исполняемый файл не создается командой "ghdl -e что-то";в линуксе он создан.Я...

Alock Leo / 24 января 2011
13 голосов
2 ответов

Я использую VHDL через ModelSim. Каждая ошибка и предупреждение имеет свой собственный код ошибки...

Philippe / 20 января 2011
0 голосов
2 ответов

У меня есть две вложенные процедуры, где «основная» процедура использует «subproc» для накопления...

Richard23 / 18 января 2011
2 голосов
1 ответ

У меня есть компонент с около 30 некоторыми входами, и у меня есть вектор с таким же количеством. Я...

Parker / 17 января 2011
1 голос
1 ответ

Я начал с курса VHDL для начинающих несколько дней назад. У меня есть код (ниже), и я пытаюсь...

John / 14 января 2011
3 голосов
2 ответов

Я синтезировал небольшое устройство для проверки логического вывода блока. Я получил сообщение от...

JCLL / 12 января 2011
1 голос
1 ответ

У меня есть очень простой vhdl testbench, который должен работать.Все мои компоненты имеют сигнал...

Andry / 10 января 2011
2 голосов
2 ответов

Я кодировал алгоритм в VHDL, но у меня есть это сообщение, которое я не понимаю, "у sra / sla не...

Giovanni Funchal / 09 января 2011
0 голосов
1 ответ

Кто-нибудь здесь расширял софткор LEON3 с кастомным hw? Я ищу простой пример, как добавить...

laki / 09 января 2011
3 голосов
2 ответов

Приведенный ниже код реализует ЦАП Delta-sigma в Verilog из заметки приложения Xilinx, и я хочу...

Giovanni Funchal / 31 декабря 2010
2 голосов
1 ответ

Мне нужно прочитать маленькое изображение (формат tif) с ПК в комплект FPGA (ALTERA DE2-70) для...

The Byzantine / 18 декабря 2010
4 голосов
3 ответов

Я помню, как читал некоторое время назад, что асинхронный или синхронный сброс более эффективно...

Mark / 14 декабря 2010
3 голосов
2 ответов

Я читал различные вопросы здесь, поскольку я изучаю VHDL и всегда стремлюсь к улучшению.Однако этот...

Mark / 10 декабря 2010
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...