Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

4 голосов
1 ответ

Это мои заявления.Почему он не идентифицирует подписанный как тип?Я импортировал библиотеку...

qwerty_gr / 28 марта 2012
0 голосов
1 ответ

Я создаю тестовый стенд для BCD_counter. Когда я пытаюсь скомпилировать тестовый стенд, я постоянно...

Synia / 27 марта 2012
0 голосов
3 ответов

Я только начинаю изучать VHDL в modelsim, поэтому заранее прошу прощения, если то, что я делаю,...

Synia / 27 марта 2012
1 голос
1 ответ

Мне нужен CORE, который будет выполнять шифрование / дешифрование AES-128.Я искал в Интернете, но...

TheEngineerer / 22 марта 2012
1 голос
2 ответов

Я ищу самый простой способ разделить два числа с плавающей запятой, используя VHDL.Мне нужно, чтобы...

xx77aBs / 15 марта 2012
4 голосов
2 ответов

В настоящее время я использую Modelsim 10.1 вместе с ISE 13.4 и запускаю очень простой тестовый...

FRob / 14 марта 2012
9 голосов
3 ответов

У меня есть сигнал в VHDL, объявленный так: signal Temp_Key : std_logic_vector(79 downto 0); Этот...

Amritha / 14 марта 2012
4 голосов
3 ответов

Я пытаюсь вывести внутренние сигналы из симуляции, выполняемой либо modelsim, либо ghdl.Все...

Tarek Eldeeb / 11 марта 2012
2 голосов
1 ответ

В последнее время я использую VHDL для записи 16-но ОЗУ. Мой код: library IEEE; use IEEE

user1260867 / 10 марта 2012
2 голосов
3 ответов

Может кто-нибудь помочь мне выяснить, что не так с моим VHDL-кодом?Вот код: library IEEE; use IEEE

xx77aBs / 07 марта 2012
1 голос
2 ответов

Внедрение 8-битного ALU в VHDL только с беззнаковыми числами.Когда результатом суммы является (1)...

Andr / 06 марта 2012
3 голосов
3 ответов

У меня есть проект, чтобы сделать. Что требует, чтобы я использовал FPGA. Тема в том, что мне нужно...

Jasim Khan Afridi / 05 марта 2012
1 голос
3 ответов

Я пытаюсь разобрать свой код VHDL для некоторых дополнительных проверок. Я ищу регулярное выражение...

sebs / 05 марта 2012
2 голосов
3 ответов

Может кто-нибудь сказать мне, как мне настроить Xilinx ISE для получения максимальной скорости...

xx77aBs / 25 февраля 2012
1 голос
1 ответ

Добрый день, и спасибо за просмотр этого вопроса Я использую VCSMX (версия от июня 2011 г.) для...

boffin / 25 февраля 2012
2 голосов
2 ответов

Я уже два дня пытаюсь отладить этот VHDL-код, но я просто не вижу, в чем ошибка.Вот код: library...

xx77aBs / 21 февраля 2012
2 голосов
0 ответов

В настоящее время я пишу утверждения с использованием PSL (RTL в VHDL).Всего 30 + IP-адресов. Я...

Kumar / 21 февраля 2012
0 голосов
2 ответов

Этот код должен быть (и является) очень простым, и я не знаю, что я делаю неправильно. Вот описание...

xx77aBs / 20 февраля 2012
2 голосов
1 ответ

Здравствуйте, мне было интересно, как в действительности работает объявление сигналов в VHDL

BugShotGG / 20 февраля 2012
2 голосов
1 ответ

Я сделал синус LUT для VHDL, используя 256 элементов. Я использую вход MIDI, поэтому значения...

Eric / 19 февраля 2012
0 голосов
1 ответ

Хорошо, в прошлом году на моем уроке цифрового дизайна нам неоднократно рассказывали, как...

aaron burns / 18 февраля 2012
2 голосов
1 ответ

Я пытаюсь реализовать D-триггер в VHDL, используя D-Latch, который я написал.Но, похоже, с часами...

ratsimihah / 15 февраля 2012
6 голосов
2 ответов

Код, вызывающий проблемы, выглядит как обычная операция xnor, как показано ниже: S(1) <= L(16)...

Patrick / 14 февраля 2012
2 голосов
6 ответов

Я пишу VHDL-код для d-триггера на Modelsim и получаю сообщение об ошибке при попытке смоделировать...

user607444 / 14 февраля 2012
5 голосов
2 ответов

Я пытался написать конечный автомат в коде VHDL для простого 16-разрядного процессора, который я...

Eric Townsend / 09 февраля 2012
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...