Это мои заявления.Почему он не идентифицирует подписанный как тип?Я импортировал библиотеку...
Я создаю тестовый стенд для BCD_counter. Когда я пытаюсь скомпилировать тестовый стенд, я постоянно...
Я только начинаю изучать VHDL в modelsim, поэтому заранее прошу прощения, если то, что я делаю,...
Мне нужен CORE, который будет выполнять шифрование / дешифрование AES-128.Я искал в Интернете, но...
Я ищу самый простой способ разделить два числа с плавающей запятой, используя VHDL.Мне нужно, чтобы...
В настоящее время я использую Modelsim 10.1 вместе с ISE 13.4 и запускаю очень простой тестовый...
У меня есть сигнал в VHDL, объявленный так: signal Temp_Key : std_logic_vector(79 downto 0); Этот...
Я пытаюсь вывести внутренние сигналы из симуляции, выполняемой либо modelsim, либо ghdl.Все...
В последнее время я использую VHDL для записи 16-но ОЗУ. Мой код: library IEEE; use IEEE
Может кто-нибудь помочь мне выяснить, что не так с моим VHDL-кодом?Вот код: library IEEE; use IEEE
Внедрение 8-битного ALU в VHDL только с беззнаковыми числами.Когда результатом суммы является (1)...
У меня есть проект, чтобы сделать. Что требует, чтобы я использовал FPGA. Тема в том, что мне нужно...
Я пытаюсь разобрать свой код VHDL для некоторых дополнительных проверок. Я ищу регулярное выражение...
Может кто-нибудь сказать мне, как мне настроить Xilinx ISE для получения максимальной скорости...
Добрый день, и спасибо за просмотр этого вопроса Я использую VCSMX (версия от июня 2011 г.) для...
Я уже два дня пытаюсь отладить этот VHDL-код, но я просто не вижу, в чем ошибка.Вот код: library...
В настоящее время я пишу утверждения с использованием PSL (RTL в VHDL).Всего 30 + IP-адресов. Я...
Этот код должен быть (и является) очень простым, и я не знаю, что я делаю неправильно. Вот описание...
Здравствуйте, мне было интересно, как в действительности работает объявление сигналов в VHDL
Я сделал синус LUT для VHDL, используя 256 элементов. Я использую вход MIDI, поэтому значения...
Хорошо, в прошлом году на моем уроке цифрового дизайна нам неоднократно рассказывали, как...
Я пытаюсь реализовать D-триггер в VHDL, используя D-Latch, который я написал.Но, похоже, с часами...
Код, вызывающий проблемы, выглядит как обычная операция xnor, как показано ниже: S(1) <= L(16)...
Я пишу VHDL-код для d-триггера на Modelsim и получаю сообщение об ошибке при попытке смоделировать...
Я пытался написать конечный автомат в коде VHDL для простого 16-разрядного процессора, который я...