Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

0 голосов
2 ответов

У меня есть файл с большим количеством целых чисел, он выглядит следующим образом: 123 254 360 700...

rooter / 06 сентября 2018
0 голосов
1 ответ

Я нашел эту ошибку в этом тестовом стенде для SR FF. Пока я компилирую его, используя GHDL в...

Shareefa Fairoose / 06 сентября 2018
0 голосов
2 ответов

У меня есть 3 разных типа компонентов. Например, type0, type1 и type2. Все они имеют одинаковые...

Xinyu Hou / 05 сентября 2018
0 голосов
1 ответ

У меня есть небольшое несоответствие в моем коде VHDL. Я делаю сигнал на носилках, используя...

Fulvio De Persio / 03 сентября 2018
0 голосов
1 ответ

Чтобы изучить VHDL, я реализую свой собственный CPU с VHDL. Я реализую IO с отображением в памяти,...

Venusaur / 01 сентября 2018
0 голосов
1 ответ

Я новичок в VHDL. Я получаю следующие ошибки компиляции: Гомография хеджа уже в регионе Гомография...

Slyswine / 31 августа 2018
0 голосов
1 ответ

У меня есть процедура, которая никогда не возвращает значение. procedure gen_start_impulse ( signal...

Алекс / 31 августа 2018
0 голосов
0 ответов

Я пытаюсь использовать компонент памяти DDR3 на моей плате Arty (https://reference.digilentinc

NSH / 30 августа 2018
0 голосов
2 ответов

Я новичок в VHDL. Ниже приведена строка: constant TIME_DELTA : time := 100 ns; Что это за слово...

TRoa / 30 августа 2018
0 голосов
1 ответ

Чтобы изучить VHDL, я реализую свой собственный CPU с VHDL. Устали от написания битового набора...

Venusaur / 30 августа 2018
0 голосов
2 ответов

Я понимаю работу FIFO, но мне кажется, что я что-то упускаю из-за его полезности. При реализации...

jakedaly / 29 августа 2018
0 голосов
1 ответ

Я использую DCM на FPGA Spartan-3, которая имеет выходной сигнал LOCKED. Мне нужно распределить мои...

Gustavo / 29 августа 2018
0 голосов
2 ответов

7.1 - Рассмотрим арифметическую схему, которая может выполнять четыре операции: a + b, ab, a + 1 и...

Nominal / 29 августа 2018
0 голосов
1 ответ

Я получаю извне std_logic_vector с двоичным значением, то есть представляет бит, который должен...

Алекс / 27 августа 2018
0 голосов
2 ответов

Я достиг положения в своем проекте, в котором нам нужно значительно увеличить распараллеливание, но...

Will Haward / 05 июля 2018
0 голосов
1 ответ

Недавно я столкнулся с вопросом, касающимся блоков и структур процессов VHDL, и не нашел объяснений...

Andrei / 05 июля 2018
0 голосов
2 ответов

Мне нужно получить длину текстового файла в Vivado во время симуляции. Я попробовал приведенный...

Sukru / 04 июля 2018
0 голосов
3 ответов

Предупреждение: это будет долго. Извините, если это слишком многословно. Я только начинаю изучать...

DiBosco / 04 июля 2018
0 голосов
3 ответов

У меня есть следующая функция, которая возвращает значение переменной, когда она может быть...

Katu / 03 июля 2018
0 голосов
1 ответ

Я знаю, что это просто, но мне трудно заставить это работать. Я «читаю» с выводов ввода-вывода и...

user169808 / 30 июня 2018
0 голосов
1 ответ

Я изучаю дизайн VHDL, загруженный из Интернета. Некоторые порты ввода / вывода объявлены как...

Alek / 29 июня 2018
0 голосов
1 ответ

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std

ali web / 29 июня 2018
0 голосов
2 ответов

В настоящее время я разрабатываю ядро ​​шифрования AES для платы FPGA Pynq-Z1. Я хотел бы видеть...

user40921 / 28 июня 2018
0 голосов
0 ответов

Я бы хотел иметь возможность отравить сигнал в VHDL, чтобы все последующие потребители получали...

Mike Vine / 27 июня 2018
0 голосов
1 ответ

Я хочу подробно разработать пару компонентов, использующих операторы создания, эти компоненты имеют...

M0ysez / 26 июня 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...