Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

0 голосов
3 ответов

Я понимаю, что назначение сигналов по умолчанию полезно (даже необходимо) в VHDL, чтобы избежать...

Nigel Davies / 26 сентября 2018
0 голосов
1 ответ

У меня есть для цикла в процессе , который отлично работает с std_logic массивами, но не с record...

Victor CANOZ / 26 сентября 2018
0 голосов
0 ответов

Я пытаюсь реализовать 8-разрядный двунаправленный регистр сдвига в VHDl, но он не работает должным...

Edaj Mai / 26 сентября 2018
0 голосов
1 ответ

Я пытаюсь смоделировать программный IP-адрес LVDS на MAX10 FPGA с помощью modelsim-altera , он...

alpha / 25 сентября 2018
0 голосов
1 ответ

Я создал следующий счетчик с функцией сравнения совпадений: library IEEE; use IEEE.STD_LOGIC_1164

Gustavo / 24 сентября 2018
0 голосов
1 ответ

У меня проблема со следующим кодом, представляющим общий асинхронный счетчик. library ieee; use...

Hackasim / 24 сентября 2018
0 голосов
1 ответ

Я создал этот простой счетчик mod16, используя плату basys3, и что-то не так с моими часами.Сам код...

donwazonesko / 23 сентября 2018
0 голосов
1 ответ

Я новичок в StackOverflow и прошу прощения за возможную ошибку. Я работаю над VHDL, и у меня...

ArPharazon / 22 сентября 2018
0 голосов
2 ответов

Любая идея, какая разница между этим: p_persist_reg_CRC_calc: process (Clk_50Mhz) begin if...

Emil Yordanov / 22 сентября 2018
0 голосов
0 ответов

// это 4: 1 mux Library ieee; use ieee.std_logic_1164.all; ENTITY fourMux IS PORT(B : IN BIT_VECTOR...

Patrick Thu / 21 сентября 2018
0 голосов
1 ответ

Я проектирую общий путь данных, и как часть этого есть постоянные шаблоны, которые используют...

Ravenwater / 20 сентября 2018
0 голосов
1 ответ

Пожалуйста, смотрите код ниже: .... port( the_input: in std_logic_vector(0 to 3)); ... type...

TRoa / 19 сентября 2018
0 голосов
0 ответов

Я получаю сообщение об ошибке для этой строки: DATA (15 DOWNTO 0) <=...

RKishmar / 19 сентября 2018
0 голосов
2 ответов

Могу ли я не взять фрагмент массива записей? Я объявляю такую ​​запись: type mytype is record one :...

Ray D / 17 сентября 2018
0 голосов
0 ответов

У меня есть большая куча сгенерированных VHDL, которые я передаю в Xilinx ISE 14.7. Во время...

Cactus / 17 сентября 2018
0 голосов
1 ответ

так что в основном я пытаюсь сделать множитель в VHDL с 2 входами по N битов с выводом из 2 * N...

ProgWriter10 / 15 сентября 2018
0 голосов
1 ответ

Я пытаюсь создать самодельный пакет для проекта VHDL, используя Vivado, и у меня возникает ошибка,...

phlie / 15 сентября 2018
0 голосов
0 ответов

Я предполагаю, что если определенное условие выполнено, то A = Y, но если оно не выполнено, A...

Chronah Solih / 12 сентября 2018
0 голосов
1 ответ

Я нашел следующее утверждение в модуле verilog: localparam str2=" Display Demo ",...

hendrik2k1 / 12 сентября 2018
0 голосов
1 ответ

Я хочу сравнить вектор 32 бит. например, bit_vector: в std_logic_vector (от 0 до 31); ... if...

TRoa / 12 сентября 2018
0 голосов
2 ответов
0 голосов
2 ответов

Допустим, я хочу написать 2-битный компаратор в VHDL. Есть вектор a и вектор b. Работает ли...

p_d / 10 сентября 2018
0 голосов
1 ответ

Когда я компилирую этот код, используя ghdl, он выдает ошибки. library ieee; use ieee

Shareefa Fairoose / 10 сентября 2018
0 голосов
1 ответ

library ieee; use ieee. std_logic_1164.all; entity JKFF is PORT( j,k,clock: in std_logic; q,qbar:...

Shareefa Fairoose / 08 сентября 2018
0 голосов
2 ответов

У меня есть это определение: subtype sample_t is signed(SAMPLE_WIDTH-1 downto 0); Теперь в коде я...

dwjbosman / 08 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...