Вопросы с тегом vhdl - PullRequest

Вопросы с тегом vhdl

0 голосов
2 ответов

Я пытаюсь скомпилировать пакет подпрограмм и получаю эту ошибку: ** Ошибка: C:...

Katrine Grytten / 09 октября 2018
0 голосов
0 ответов

entity check_signalanvariable is Port ( i_cos_theta : in sin_cos_type; i_sin_theta : in...

John / 09 октября 2018
0 голосов
1 ответ

У меня есть следующий существующий код FPGA fpga_avr32_data : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0);...

StefanBo / 09 октября 2018
0 голосов
0 ответов

Я написал следующий код FUNCTION table_CRC16 (Data : STD_LOGIC_VECTOR(63 DOWNTO 0); data_byte_num :...

RKishmar / 08 октября 2018
0 голосов
1 ответ

Я что-то не понимаю в процессах VHDL, заканчивающихся безусловным оператором wait.Чтобы...

Janthelme / 08 октября 2018
0 голосов
0 ответов

Я пытаюсь смоделировать XADC в VIVADO У меня есть мой код TestBench здесь library IEEE; use ieee

Newbee / 08 октября 2018
0 голосов
0 ответов

У меня есть вопрос относительно моего кода в VHDL;Я хотел бы реализовать драйвер для АЦП (AD7476A)...

Khadysr / 08 октября 2018
0 голосов
1 ответ

введите описание изображения здесь Я разрабатываю приложение для получения основных данных от ЦП и...

srihari / 08 октября 2018
0 голосов
1 ответ

Я пытаюсь построить матричный векторный множитель в VHDL, используя структурное моделирование. Я...

Jimmy Valentine / 06 октября 2018
0 голосов
1 ответ

У меня проблемы со следующим кодом кольцевого генератора: entity OSCILLATOR is port( OUTPUT: out...

Hackasim / 04 октября 2018
0 голосов
1 ответ

Я новичок в VHDL и, возможно, у меня есть базовый вопрос, но здесь он звучит так: При объявлении...

schadjo / 04 октября 2018
0 голосов
0 ответов

Я пытаюсь создать файл тестового стенда для имитации моего модуля добавления / подчинения и получил...

jsteve34 / 04 октября 2018
0 голосов
1 ответ

у нас, похоже, проблема со следующим кодом.Получаемая ошибка: Ошибка (10500): синтаксическая ошибка...

PabloRuz / 04 октября 2018
0 голосов
2 ответов

Я практикую VHDL, и у меня есть фундаментальный вопрос о «простых» утверждениях, которые не требуют...

graille / 03 октября 2018
0 голосов
0 ответов

Я работаю над проектом для школы для кодера 10-4 с двумя выходами.Я довольно уверен в архитектуре,...

Neil / 03 октября 2018
0 голосов
1 ответ

В настоящее время я учусь кодировать в vhdl и хочу сделать дополнение (например: 001011.110 +...

Programmer / 02 октября 2018
0 голосов
1 ответ

Следующий автономный VHDL-файл упрощен по сравнению с выводом CLaSH, который должен объяснить его...

Cactus / 02 октября 2018
0 голосов
1 ответ

Кажется невозможным сделать что-то, что работает как код, приведенный ниже в VHDL.Возможно ли это...

user2514676 / 29 сентября 2018
0 голосов
1 ответ

Я пытаюсь создать компонент, который использует универсальный тип.Внутри этого компонента я хотел...

Maurice / 28 сентября 2018
0 голосов
1 ответ

Мне нужно записать 32 std_logic_vetor-s в массив вида вроде data_array <= {0x0000, 0xA0F1,...

RKishmar / 28 сентября 2018
0 голосов
0 ответов

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity compute is port( clk: in...

Rejoy Mathews / 28 сентября 2018
0 голосов
0 ответов

У меня есть следующий код симуляции VHDL.Это минимальный пример, взятый из clock_Monitor...

Paebbels / 27 сентября 2018
0 голосов
1 ответ

Я пытался построить генератор импульсов, чтобы, скажем, определить, когда сигнал упал.Я пробовал...

Gaspar Dellafofoo / 27 сентября 2018
0 голосов
0 ответов

Я пытаюсь придумать простую клавиатуру 4x4 FSM в VHDL.Я гуглил и не могу найти примеров для начала,...

SpaceCowboy / 27 сентября 2018
0 голосов
1 ответ

Пожалуйста, помогите мне понять, когда порты могут использоваться в качестве сигналов в VHDL. Я...

Nigel Davies / 27 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...