Вопросы с тегом synopsys-vcs - PullRequest

Вопросы с тегом synopsys-vcs

0 голосов
0 ответов

В моем файле ckt.sv есть мой модуль ckt (который я должен синтезировать) и мой модуль testbench...

adi_226 / 29 сентября 2019
0 голосов
0 ответов

У меня есть 2 модели, одна в OVM и одна в настройке UVM.Мне нужно подключить и смоделировать их под...

Albert Waissman / 12 июня 2019
0 голосов
1 ответ

Привет, почему симуляция VCS допускает некоторые назначения из 2-х разных всегда блоков, в то время...

TheSprintingEngineer / 30 мая 2019
0 голосов
1 ответ

Я хочу создать группу сигналов с общим именем TOP в файле сеанса для Synopsys DVE.Моя цель состоит...

Arun Kumar / 09 мая 2019
0 голосов
2 ответов

Предположим, что мой модуль имеет 8-битный вход и 8-битный выход module MyModule (input logic [7:0]...

qwr / 11 февраля 2019
0 голосов
1 ответ

Сигнал driver_a переназначается в блоке Always обратно на 0, но почему блок Always не активируется...

TheSprintingEngineer / 09 февраля 2019
0 голосов
1 ответ

Я пытаюсь смоделировать процессор на основе RISCV в Synopsys VCS с дизайном RTL (verilog).Я хотел...

deadpool3 / 29 декабря 2018
0 голосов
0 ответов

Мой проект содержит MicroBlaze, несколько шин AXI4 Lite / Full на XPS в проекте ISE.В ISE...

Vlad / 09 декабря 2018
0 голосов
0 ответов
0 голосов
1 ответ

Я пытаюсь проверить дизайн, написанный на VHDL, используя утверждения SystemVerilog.однако у меня...

mariam / 16 октября 2018
0 голосов
0 ответов

Я работаю над анализом структуры списка соединений уровня шлюза.Я хочу извлечь две информации из...

Hoo / 24 сентября 2018
0 голосов
0 ответов

В старой версии tetramax ATPG мы использовали: write_patterns pat.v -format VERILOG_Single_file...

Syful Islam / 02 мая 2018
0 голосов
1 ответ

Можно ли сделать полностью скомпилированную и автономную версию модуля RTL, как снимок в терминах...

Ginty / 27 апреля 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...