Это мой код VHDL: entity Operation is port ( clk16: in std_logic; // 16 MHz input clock start_cmd:...
У меня есть этот входной вектор, который я должен вычесть из моей внутренней переменной entity f11...
Есть ли в vhdl библиотека, которая определяет операторы * и +?Мне нужно умножить и добавить более...
В VHDL и других аппаратных языках, насколько я понимаю, все условия истинной логики в процессе...
Я инициализирую массив записей, который также содержит строку. Я получаю сообщение об ошибке...
Похоже, что ни на одном из форумов, который я мог найти, не спрашивали, и я не мог найти, как это...
Я получаю эту ошибку, когда пытаюсь выполнить симуляцию после синтеза на своем стенде в Vviado. Я...
Если вы добавили регистры или задержки параллельно регистрам, показанным на диаграмме, это будет...
Я пытаюсь отобразить текст в пикселях для экрана VGA. Мой дизайн включает в себя много текста, и...
Я пишу код для алгоритма RSA.Мне нужно использовать цикл для его работы.Но цикл не имеет...
Я программирую на VHDL 1993 под QUARTUS II, и я только что создал два компонента, чтобы попытаться...
В VHDL со строгой типизацией при выполнении умножения я ожидаю, что следующий оператор получит...
Я хотел бы найти индекс массива с его наибольшим значением.Можно ли просто использовать атрибут...
Инструменту linting не нравится следующий блок кода, потому что он думает, что сигналы, объявленные...
Я пишу программу, которая делает следующее: Цель: переместить изображение на экран. Входы: 8 х 8...
Я работаю над делителем частоты с возможностью выбора частоты, и я не могу заставить это работать....
Я пытаюсь создать пакет вентилей AND для использования в другой части этого проекта, и я не знаю,...
Так как у меня нет возможности проверить это, я хотел бы спросить, компилируется ли этот фрагмент...
Возможно ли частичное присвоение типу записи при инициализации? Что-то вроде: type t_foo is record...
Можно ли определить значение по умолчанию для типа записи или вообще для любого определенного...
Я пытаюсь прочитать ввод с клавиатуры и хочу, чтобы введенный пользователем номер сохранялся на...
Итак, я должен реализовать простую функцию F с минимальными затратами с использованием компонентов
Я использую ModelSim для симуляции 4-битного вычитателя, и я пытаюсь применить волновую константу к...
У меня есть следующий код: library ieee; use ieee.std_logic_1164.all; use std.textio.all; entity...
Я создал FSM и хотел бы, чтобы для каждого состояния FSM передавал символ (8 бит) из моего UART....