Вопросы с тегом modelsim - PullRequest

Вопросы с тегом modelsim

1 голос
1 ответ

Это тестовый стенд, и у меня есть эти сигналы: signal DATA_INPUT :std_logic_vector(0 to 31); signal...

VHDL Verification geek / 24 апреля 2019
0 голосов
0 ответов

Я новичок в Modelsim. У меня есть простой тестовый стенд, и я могу скомпилировать файлы без ошибок...

Tyler314 / 23 апреля 2019
0 голосов
1 ответ

У меня есть простой код: module test ( input a, output b ); assign a=0; assign b=0; endmodule Как...

delkov / 20 апреля 2019
0 голосов
1 ответ

Я хочу иметь возможность передавать информацию из System Verilog testbench в программу на c ++...

user2987773 / 20 апреля 2019
0 голосов
0 ответов

Я хочу реализовать Y = (~ A & ~ B & C) | (A & ~ B & ~ C) | (~ A & B & ~ C) в ModelSim 10,7b. Вот...

subject474 / 16 апреля 2019
0 голосов
0 ответов

Обычно, когда я набираю команду в modelsim, если в команде есть звездочка *, в ней перечисляются...

user2913869 / 14 апреля 2019
0 голосов
1 ответ

Я пытаюсь построить кодировщик статического приоритета, например, 0011101010 ------> 0010000000...

PrashantYadav / 08 апреля 2019
0 голосов
1 ответ

Я новичок в ModelSim и Verilog modelsim не заботится о моем объявлении имени, и каждое имя для...

mehran arbabian / 04 апреля 2019
1 голос
1 ответ

Есть ли способ заставить modelsim выдавать ошибку вместо предупреждения, если экземпляр компонента...

user2913869 / 03 апреля 2019
0 голосов
1 ответ

Я пытаюсь создать простой 32-битный ALU с флагом переполнения, а затем вывести на экран входные...

Tina / 02 апреля 2019
0 голосов
0 ответов

Я использую ModelSim для симуляции 4-битного вычитателя, и я пытаюсь применить волновую константу к...

Janeson00 / 28 марта 2019
1 голос
1 ответ

Я разрабатываю модуль, который принимает несколько каналов и выводит один канал. Каждый канал...

csehydrogen / 21 марта 2019
0 голосов
2 ответов

Я новичок в моделяхim и Verilog. Я разработал DFF (модуль D-триггера) и испытательный стенд для его...

Miguel A. Friginal / 14 марта 2019
0 голосов
1 ответ

Я пытался запустить код VHDL, но эта ошибка (vcom-11) продолжает появляться. vcom -работа...

A.Z / 05 марта 2019
0 голосов
0 ответов

Я использую Modelsim 10.4a для имитации моего verilog-кода (с использованием тестового стенда),...

Steven / 26 февраля 2019
0 голосов
1 ответ

Я столкнулся с ошибкой с моим VHDL-кодом.Я использую программное обеспечение ModelSim для него. Я...

Ali Raza / 16 февраля 2019
0 голосов
1 ответ

Я знаю VHDL, и теперь я пытаюсь сделать немного verilog.У меня есть два файла, один из которых...

sloeberGJ / 12 февраля 2019
0 голосов
1 ответ

У меня есть VHDL-код с INs, OUTs и внутренними константами SIGNAL, такими как счетчики, которые я...

Tyler314 / 09 февраля 2019
0 голосов
0 ответов

У меня есть отдельные испытательные стенды для каждого из подмодулей моего проекта.Там тоже есть...

rubana / 30 января 2019
0 голосов
1 ответ

Я создаю тестовый стенд для объекта высшего уровня. Он использует несколько компонентов, включая 2x...

Daidase / 08 января 2019
0 голосов
1 ответ

У меня есть специальный FSM, который работает просто отлично.но я хочу начать с определенного...

islam toukhy / 31 декабря 2018
0 голосов
0 ответов

Я все еще новичок в SystemVerilog и пытаюсь привести несколько примеров.Один пример использует...

Mahmoud Abdel-Mon'em / 27 декабря 2018
0 голосов
2 ответов

Я пишу код VHDL для моделирования мультиплексора 8x1, где каждый вход имеет 32-битную ширину.Итак,...

Daidase / 20 декабря 2018
0 голосов
0 ответов

я получаю следующую ошибку в ModelSim при выполнении преобразования типа Неоднозначный тип в...

Mansur D / 18 декабря 2018
0 голосов
1 ответ

Я изучаю VHDL, и со вчерашнего дня я боролся с этим простым примером ниже. Запись сущности в VHDL...

Daidase / 14 декабря 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...