Вопросы с тегом modelsim - PullRequest

Вопросы с тегом modelsim

2 голосов
1 ответ

Как определить точность шкалы времени, использованную в симуляции, из исходного кода? Предположим,...

Sreejin TJ / 01 октября 2019
0 голосов
0 ответов

В моем файле ckt.sv есть мой модуль ckt (который я должен синтезировать) и мой модуль testbench...

adi_226 / 29 сентября 2019
0 голосов
0 ответов

Я хочу реализовать 2 модуля: один - 20-битный сумматор, а другой - 20-битный регистр.Сумматор имеет...

adi_226 / 24 сентября 2019
1 голос
0 ответов

Я хочу использовать распакованный массив параметров для настройки моего модуля. Я попытался...

Vexim / 22 сентября 2019
0 голосов
1 ответ

У меня есть стенд для тестирования Modelsim в System Verilog, который тестирует модуль верхнего...

axk / 19 сентября 2019
0 голосов
0 ответов

Какова процедура отображения ошибок, сообщенных в окне расшифровки стенограммы Modelsim после...

Norbert / 05 июля 2019
0 голосов
2 ответов

После успешной компиляции и моделирования с использованием Modelsim из кода ниже, который...

Norbert / 04 июля 2019
1 голос
1 ответ

У меня в цикле VHDL есть цикл for, который выдает ошибку modelsim: «Недопустимый параллельный...

SLP / 01 июля 2019
0 голосов
1 ответ

Это для университетского проекта.Я программирую Mealy Box, и я обнаружил ошибку, которую никогда не...

João Lima / 30 июня 2019
0 голосов
0 ответов

Я пытаюсь запустить пример дизайна IntelFPGA, используя tcl-скрипт, предоставленный Intel. В...

Pieter van Leeuwen / 25 июня 2019
0 голосов
0 ответов

Итак, я написал код для длины FFT 2048 и хочу отобразить все значения в терминале в modelsim.Но я...

starseeker29 / 19 июня 2019
0 голосов
0 ответов

Когда я создаю проект и добавляю файлы, кажется, что все работает, но в какой-то момент в процессе...

A. Vieira / 14 июня 2019
0 голосов
1 ответ

Я пытаюсь написать функцию, которая принимает аргумент, который является дескриптором объекта const

hjuston / 08 июня 2019
0 голосов
1 ответ

С помощью GtkWave я могу просто открыть файл формы сигнала vcd из командной строки следующим...

pico / 06 июня 2019
0 голосов
1 ответ

Я пытаюсь запустить некоторый код с веб-сайта chipverify в Modelsim, и он не работает - и я понятия...

hjuston / 05 июня 2019
0 голосов
1 ответ

Я пытаюсь запустить некоторый код с веб-сайта chipverify в Modelsim, и мой вывод отличается от...

hjuston / 04 июня 2019
0 голосов
0 ответов

Я реализую набор полных сумматоров в verilog, но когда дело доходит до вычитания, я никогда не...

Derivational Otter / 01 июня 2019
0 голосов
1 ответ

Я написал некоторый verilog-код о мультиплексоре 7-в-1 с инструкциями «всегда» и «регистр», но...

Derivational Otter / 01 июня 2019
0 голосов
0 ответов

У меня есть абстрактный класс с четырьмя дочерними классами. В Modelsim (SE 10.5b) я хочу добавить...

Tharaqon / 27 мая 2019
0 голосов
1 ответ

Я использую QuestaSim 70.7b;И я не могу изменить высоту сигнала формы сигнала. Я перепробовал много...

delkov / 25 мая 2019
0 голосов
1 ответ

Я собираюсь использовать случайные числа, чтобы выбрать сигнал, но не могу присвоить номер имени...

VHDL Verification geek / 24 мая 2019
0 голосов
1 ответ

Я пытаюсь реализовать память в VHDL и при тестировании ее на плате DE2 я хочу предварительно...

Ben Rei / 14 мая 2019
0 голосов
1 ответ

Я несколько новичок в VHDL и пытаюсь создать простой код для Flip Flop D. Мой код компилируется...

Ana / 09 мая 2019
0 голосов
0 ответов

Код, который я пишу, действительно прост, всего несколько строк, и он используется для простого...

Desperados / 03 мая 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...