Вопросы с тегом modelsim - PullRequest

Вопросы с тегом modelsim

0 голосов
0 ответов

извините, если моя проблема кажется очевидной, я новичок в VHDL. Я попытался написать генератор...

Adrien / 12 июня 2018
0 голосов
0 ответов

Я пытаюсь смоделировать проект VHDL, и modelsim выдает мне следующую ошибку: > Error:...

thg1 / 08 июня 2018
0 голосов
0 ответов

У меня есть VHDL-код памяти, который открывает файл .dat для доступа к некоторым инструкциям по...

A.SDR / 30 мая 2018
0 голосов
1 ответ

Я довольно новичок в ModelSim и Quartus, и для университета мне нужно сделать контроллер HDMI через...

Ross F / 18 мая 2018
0 голосов
0 ответов

Этот простой тест приводит к ошибке при компиляции с modelsim, тогда как Quartus прекрасно подходит...

grorel / 17 мая 2018
0 голосов
1 ответ

В SystemVerilog LRM есть несколько примеров, показывающих, как передавать структуры в SystemVerilog...

user3716072 / 15 мая 2018
0 голосов
3 ответов

Я только начинаю изучать VHDL в modelsim, поэтому заранее прошу прощения, если то, что я делаю,...

Synia / 27 марта 2012
4 голосов
2 ответов

В настоящее время я использую Modelsim 10.1 вместе с ISE 13.4 и запускаю очень простой тестовый...

FRob / 14 марта 2012
4 голосов
3 ответов

Я пытаюсь вывести внутренние сигналы из симуляции, выполняемой либо modelsim, либо ghdl.Все...

Tarek Eldeeb / 11 марта 2012
0 голосов
1 ответ

Я пишу код Бута для умножения массива.Это один из модулей: module add_input (M,pos,neg,C);...

fiftyplus / 17 февраля 2012
2 голосов
6 ответов

Я пишу VHDL-код для d-триггера на Modelsim и получаю сообщение об ошибке при попытке смоделировать...

user607444 / 14 февраля 2012
1 голос
0 ответов

Я Tetramax Newby, и я пытаюсь получить меру вектора функциональной проверки нагрузки покрытия...

Stefano / 30 ноября 2011
1 голос
1 ответ

В Modelsim есть кнопка «Скомпилировать все», которая компилирует все файлы в проекте, чтобы их...

node ninja / 26 ноября 2011
6 голосов
2 ответов

Я настраиваю автоматическое регрессионное тестирование для проекта FPGA, почти так же, как описано...

Ben Voigt / 13 октября 2011
0 голосов
2 ответов

У меня большой дизайн, который включает в себя тестовый стенд, некоторую тестовую схему и саму...

Stefano / 10 августа 2011
5 голосов
1 ответ

Это первый раз, когда я пытаюсь сгенерировать VCD, и у меня возникают некоторые проблемы. У меня...

Stefano / 05 августа 2011
5 голосов
1 ответ

Я могу открыть файлы проекта Modelsim, выполнив File-> Recent Projects.Однако я не знаю другого...

neuromancer / 20 мая 2011
1 голос
3 ответов

Я пытаюсь смоделировать стенд. Я не получаю сигналы, также я получаю следующее предупреждение в...

kinirashmi / 25 апреля 2011
0 голосов
1 ответ

Вот некоторый код Verilog, который я пытаюсь запустить в Modelsim. parameter Data_width = 8; //DATA...

node ninja / 19 марта 2011
0 голосов
1 ответ

Я разработал 4-разрядный сумматор Carry Look-forward, используя модули половинного сумматора.Затем...

node ninja / 19 марта 2011
3 голосов
3 ответов

Я пытаюсь отладить модуль Verilog.Я считаю утомительным останавливать симуляцию, модифицировать код...

node ninja / 10 марта 2011
13 голосов
3 ответов

Я узнал, что сигнал изменяется не сразу при столкновении с выражением, а когда процесс...

Andry / 21 февраля 2011
1 голос
2 ответов

Я недавно обновился до Modelsim 10, и когда я перекомпилировал весь свой код, скомпилировали только...

sj755 / 26 января 2011
13 голосов
2 ответов

Я использую VHDL через ModelSim. Каждая ошибка и предупреждение имеет свой собственный код ошибки...

Philippe / 20 января 2011
1 голос
3 ответов

Я хочу установить тактовый сигнал 27 МГц в ModelSim .Я обычно устанавливаю часы, щелкая правой...

Nissan911 / 01 декабря 2010
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...