Вопросы с тегом modelsim - PullRequest

Вопросы с тегом modelsim

0 голосов
1 ответ
0 голосов
1 ответ
0 голосов
0 ответов
0 голосов
2 ответов

Я изучал это, но поиск, кажется, ни к чему не приводит. Это может быть слишком просто, чтобы быть...

ParSa / 01 декабря 2019
0 голосов
2 ответов

ModelSim Руководство пользователя (v10.1c), на странице 660, рассказывает о потоке автокомпиляции...

ghertz / 05 ноября 2019
0 голосов
1 ответ

Я пытаюсь упаковать простой код в функцию. Вот код VHDL: process(CLK, RST) variable newdata :...

Ezk13 / 05 ноября 2019
0 голосов
0 ответов

Мой VHDL-код - постоянно получаю сообщение об ошибке (Формальный порт 'clk, reset, en, op_a' ОТКРЫТ...

Jordan Aley / 31 октября 2019
0 голосов
0 ответов

Мне было интересно, можно ли прочитать параметры модуля значений в Questasim. Предположим, у вас...

Laha / 25 октября 2019
0 голосов
1 ответ

Итак, я только начал изучать Verilog, используя Quartus II, и я создавал простые коды для запуска...

slowHDL / 24 октября 2019
0 голосов
0 ответов

У меня совершенно непонятная ошибка. После того, как я скомпилировал свой код в Quartus II 10.1 и...

Fatma Vural / 23 октября 2019
0 голосов
0 ответов

У меня есть простой VHDL-код с оператором «GENERATE», но когда я выполняю симуляцию, что-то не...

Marcello Neri / 19 октября 2019
0 голосов
0 ответов

В настоящее время я строю n-битный вычитатель, и он, кажется, работает нормально, но моя форма...

platizin / 04 октября 2019
0 голосов
1 ответ

Я написал простой процесс VHDL с синхронизацией по времени, содержащий две переменные: целое число,...

SoLegendary / 02 октября 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...