Вопросы с тегом сбис - PullRequest

Вопросы с тегом сбис

0 голосов
3 ответов

Возможно ли иметь два флопа / любые другие экземпляры имеют одинаковое имя в списке соединений?...

Hemant Bhargava / 27 февраля 2019
0 голосов
1 ответ

введите описание изображения здесь Я ищу магистров в области проектирования электронных систем, и...

Varun Raj / 19 февраля 2019
0 голосов
1 ответ

Может ли кто-нибудь сказать разницу в методе или механизме сокращения частичных продуктов между...

kevin998x / 26 января 2019
0 голосов
1 ответ

module inst(); supply1 sp1; supply1 sp2; top top1(.p1(sp1)); top top2(.p1(sp2)); endmodule Может...

karen.nik98 / 08 января 2019
0 голосов
0 ответов

Я делаю множитель со знаком, для этого я создал половину сумматора, полного сумматора, сумматора...

Zohaib Ramzan / 24 ноября 2018
0 голосов
1 ответ

введите описание изображения здесь Я разрабатываю приложение для получения основных данных от ЦП и...

srihari / 08 октября 2018
0 голосов
1 ответ

В моем проекте так много последовательностей, которые обрабатываются виртуальной...

user9169344 / 13 июня 2018
0 голосов
0 ответов

В старой версии tetramax ATPG мы использовали: write_patterns pat.v -format VERILOG_Single_file...

Syful Islam / 02 мая 2018
0 голосов
1 ответ

Я попытался реализовать 10: 1024-битный декодер в verilog с соответствующим тестовым стендом,...

mohitesh07 / 24 апреля 2018
0 голосов
0 ответов

Я кодировал программу для Serial CRC, но я не уверен, является ли окончательный вывод (форма...

Vinay / 24 апреля 2018
17 голосов
5 ответов

Какой код лучше писать в ОЗУ? назначение data_out внутри always блока: module memory( output reg...

e19293001 / 03 октября 2011
3 голосов
2 ответов

Я участвую в разработке бесплатного учебного онлайн-инструмента, который может быть использован...

Dhruv / 21 января 2011
5 голосов
3 ответов

Возможно, этот вопрос немного не относится к StackOverflow, но и компиляторы, и Verilog (который...

osgx / 15 ноября 2010
6 голосов
3 ответов

Я работаю над простым расширителем знака в Verilog для процессора, который я создаю для...

Alex Mullans / 14 ноября 2010
4 голосов
2 ответов

Я очень плохо знаком с языком HDL.У меня есть вопрос о том, как программировать сдвиговый регистр

Grey / 19 августа 2010
3 голосов
2 ответов

Я создаю чип, используя verilog. У меня есть 3-битный счетчик. Я хочу, чтобы когда счетчик...

Biswajyoti Das / 12 февраля 2010
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...