Вопросы с тегом modelsim - PullRequest

Вопросы с тегом modelsim

0 голосов
0 ответов

Я пытаюсь установить Modelsim на мою систему Ubuntu.Я скачал файл, но при попытке установить его...

Walter Nazarenus / 12 декабря 2018
0 голосов
0 ответов

Я пытаюсь смоделировать в Modelsim мой код на Verilog.Когда я имитирую его, он показывает мне X...

Eli Inzelbuh / 12 декабря 2018
0 голосов
1 ответ

module alu64bit_test; // Put your code here // ------------------ reg [63:0] a; reg [63:0] b; reg...

Carolina / 10 декабря 2018
0 голосов
0 ответов

У меня есть текстовый файл, представляющий значения АЦП в целочисленном формате из схемы, которая...

rooter / 10 декабря 2018
0 голосов
1 ответ

У меня есть запись в VHDL, которая содержит указатель (access).Мне нужно создать функцию, которая...

jcc18 / 09 декабря 2018
0 голосов
2 ответов

У меня есть система, у которой есть некоторые тайм-ауты, которые имеют порядок секунд, для целей...

Taako / 05 декабря 2018
0 голосов
0 ответов

Я использую DVT Eclipse для запуска моделирования SystemVerilog с использованием ModelSim со...

Jack Kasbrack / 28 ноября 2018
0 голосов
2 ответов

Я попытался создать экземпляр этого модуля, он выдал мне следующую ошибку (неожиданное '#',...

Yehia Farouk / 21 ноября 2018
0 голосов
2 ответов

В чем преимущество использования testbench вместо файла ".do" в ModelSim? Файл .do позволяет мне...

Tal J / 12 ноября 2018
0 голосов
2 ответов

Я разрабатываю процессор в VHDL. Я использую ModelSim для моделирования и тестирования. В скрипте...

programonkey / 11 ноября 2018
0 голосов
0 ответов

Я не уверен, что делать с этой ошибкой в ​​modelsim. У меня есть вложенный цикл в моем verilog, и...

Michael B redeemer216 / 08 ноября 2018
0 голосов
1 ответ

Это мой первый опыт написания кода в Verilog, а также мой первый запрос StackExchange! Пожалуйста,...

sundaylimes / 05 ноября 2018
0 голосов
1 ответ

assign F=((A&B)(~|)(C|D))^((C|D)(~&)((~)A(~|)C)); Понятия не имею, почему я получаю эту...

lowspacetop / 01 ноября 2018
0 голосов
1 ответ

Я использую Modelsim для долгой симуляции. Я хочу иметь такой вывод для моего отчета о проделанной...

VSB / 29 октября 2018
0 голосов
1 ответ

У меня есть среда тестирования, которую мне нужно портировать на Xilinx Vivado. Какие аналоги...

Bhawandeep Singh / 19 октября 2018
0 голосов
0 ответов

Windows 10 64-разрядная версия Quartus II 13.0.1 ModelSim Редакция: 2012.11 Дата: 2 ноября 2012...

StefanBo / 18 октября 2018
0 голосов
1 ответ

Я написал программу для modelsim, которая добавляет к числам и помещает результат в Ra / Sum.Я...

H.Pett / 16 октября 2018
0 голосов
2 ответов

Довольно просто, но я вырываю волосы и мне нужны свежие глаза.Проблема подробно описана ниже,...

user7427901 / 11 октября 2018
0 голосов
1 ответ

Сегодня я работаю с ModelSim для лаборатории и не могу понять, как изменить временной интервал в...

kade / 02 октября 2018
0 голосов
1 ответ

Я пытаюсь смоделировать программный IP-адрес LVDS на MAX10 FPGA с помощью modelsim-altera , он...

alpha / 25 сентября 2018
0 голосов
1 ответ

когда я установил modelsim 10.4SE в моем windows10 завершено.но при запуске modelsim возникает...

shuang / 25 сентября 2018
0 голосов
0 ответов

Я хочу подключить разные модули в verilog для реализации однопотокового канала данных в MIPS.Вот...

Rutvij Khatri / 23 сентября 2018
0 голосов
1 ответ

Я начинаю получать эту ошибку после того, как я на самом деле делаю регистр статическим. Это хорошо...

axk / 18 сентября 2018
0 голосов
1 ответ

Какими должны быть индексные диапазоны параметра init в этом случае: parameter zero = 0; parameter...

J. Doe / 12 сентября 2018
0 голосов
1 ответ

В следующем коде Verilog testbench я получаю вывод на монитор от времени = 0 до времени = 30, но...

user120908 / 04 июля 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...