Вопросы с тегом FPGA - PullRequest

Вопросы с тегом FPGA

0 голосов
0 ответов

Я пытаюсь создать образ PYNQ для zedboard, следуя инструкциям по файлам pynq doc и github repo.Я...

ambarish / 07 июня 2019
0 голосов
0 ответов

У меня возникли проблемы с указателями, используемыми в качестве входных аргументов для функции...

Hooman / 05 июня 2019
1 голос
3 ответов

Я написал несколько RTL vivado, а затем добавил некоторые атрибуты vhdl к портам сущности, чтобы...

pico / 04 июня 2019
0 голосов
1 ответ

Я написал код для моего интерфейса I2S. этот интерфейс имеет функцию PISO (параллельный вход и...

Joost / 03 июня 2019
0 голосов
1 ответ

Я устанавливаю adv7513 на стартовый комплект altera GX. Данные, которые я прочитал из регистров...

Matt / 02 июня 2019
0 голосов
1 ответ

У меня Lattice iCE40 HX8K FPGA в 256 BGA корпусах.Я хочу использовать один из доступных модулей PLL...

Vasil / 01 июня 2019
3 голосов
2 ответов

У меня есть эта функция "cost_compare", которую я хотел бы разгрузить на FPGA для некоторых...

Hooman / 31 мая 2019
0 голосов
0 ответов

Я пытаюсь ознакомиться с Cyclone V FPGA, у меня есть Cyclone V GT Dev Kit.Комплект разработчика...

Dustin / 30 мая 2019
0 голосов
1 ответ

У меня неизвестный xx для req [1: 0] сигнала в реализации NoC verilog-кода Пожалуйста, сообщите.

kevin / 29 мая 2019
0 голосов
1 ответ

У меня есть несколько аппаратных IP-адресов, которые мне нужно синтезировать. И IP содержит...

noureddine-as / 29 мая 2019
1 голос
0 ответов

Я генерирую импульс SENT в моем проекте.Для реализации протокола SENT клочки данных равны 6. Таким...

Samuel / 25 мая 2019
0 голосов
1 ответ

Я хочу использовать монитор HDMI с платой cyclone V GX, но я не получаю подтверждение от adv7513 на...

Matt / 23 мая 2019
1 голос
2 ответов

Я пытаюсь записать число с двойной подписью в память и прочитать то же самое, чтение излишне,...

Harry / 23 мая 2019
0 голосов
2 ответов

Я пытаюсь запустить RedPitaya в режиме AMP. Я не нашел много информации о драйвере remoteproc и о...

Waqar Rashid / 22 мая 2019
0 голосов
0 ответов

Мы используем CycloneV в нашем проекте, FPGA будет записывать данные в DDR, используя шину AXI, и...

Tim / 20 мая 2019
0 голосов
0 ответов

Я использую ядро ​​Microsemi CORDIC IP, и у меня возникают проблемы с его работой.Ядро установлено...

Gordon13 / 20 мая 2019
0 голосов
0 ответов

У меня есть базовый дизайн декодера Морзе, реализованный в VHDL.Он отлично работает на плате FPGA,...

ege-erdogan / 19 мая 2019
0 голосов
1 ответ

Для своего хобби-проекта я пытаюсь создать квадрокоптер, который уравновешивается с MPU-6050

Nelizzsan / 16 мая 2019
0 голосов
1 ответ

У меня есть VHDL конечный автомат.Я создал внутренний TYPE сродни TYPE t_SM_Main IS (s_Idle,...

Tarick Welling / 15 мая 2019
0 голосов
1 ответ

Я читал несколько статей о том, что нелинейные функции состояния (например, экспоненты) являются...

user94758 / 14 мая 2019
0 голосов
1 ответ

Я довольно новичок в кодировании с vhdl, и я только что закончил делать простую игру, используя...

Eslam Allam / 12 мая 2019
1 голос
3 ответов

Я пытаюсь скомпилировать ядро ​​для эмуляции с aoc в Intel FPGA SDK, я установил все переменные...

Luis Alberto / 11 мая 2019
0 голосов
0 ответов

Мой компонент читает из оперативной памяти, выполняет некоторые вычисления и записывает обратно в...

LoSpazzino / 10 мая 2019
0 голосов
0 ответов

Код триггера JK: library ieee; use ieee.std_logic_1164.all; ENTITY JK IS PORT ( J,K,clk,rst,set :...

Apollo / 09 мая 2019
0 голосов
0 ответов

Я написал FSM на VHDL, и мне нужно, чтобы каждый процесс решал логические и арифметические...

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...