Вопросы с тегом Verilog - PullRequest

Вопросы с тегом Verilog

0 голосов
2 ответов

Я изучал это, но поиск, кажется, ни к чему не приводит. Это может быть слишком просто, чтобы быть...

ParSa / 01 декабря 2019
0 голосов
0 ответов

Я пытаюсь сформировать анализатор подключения сигнала в файле модуля. Он может читать (один или...

AimRamb / 11 ноября 2019
0 голосов
0 ответов

Я хочу создать тестовый стенд для датчика приоритета 4-2. Я пытался присвоить значения Don't Care...

sifislaz / 10 ноября 2019
0 голосов
1 ответ

Я работаю над проектом, и после того, как выследил ошибку, я сузил ее до причины, вызванной блоком...

TomatoLV / 10 ноября 2019
0 голосов
0 ответов

введите описание изображения здесь Я хочу сделать повторы строки 7 ~ строки 9 в один положительный...

pasha1 / 09 ноября 2019
0 голосов
1 ответ

Я собираю UART RX и хочу сделать его настраиваемым во время синтеза, либо не получен бит четности:...

Cheiron / 09 ноября 2019
1 голос
1 ответ

В простом модуле я определил 4-битный регистр массива и использую его для присвоения значения...

TomatoLV / 09 ноября 2019
0 голосов
0 ответов

Мне нужно создать синусоидальную волну с помощью Verilog, и в Google я нашел кое-что связанное с...

HAZEL / 08 ноября 2019
0 голосов
0 ответов

Для моего класса «Архитектура компьютера» моему партнеру и мне было поручено реализовать...

Joshua Baroni / 08 ноября 2019
0 голосов
0 ответов

Я написал verilog-модули для SR Latch, SR Flip Flop (путем создания экземпляра модуля SR Latch) и...

Aditya / 08 ноября 2019
0 голосов
0 ответов

Я пытаюсь реализовать четырехбитный счетчик пульсаций BCD, используя вентиль NAND, который очищает...

Colin / 08 ноября 2019
1 голос
1 ответ

Я использую сумматор Carry Lookahead, используя Chisel3. Чтобы сократить время ожидания, мне нужно...

clay / 08 ноября 2019
0 голосов
1 ответ

Я запускаю тест (UVM) с большим количеством компонентов. Это тест верхнего уровня, однако я...

Hellzzar / 07 ноября 2019
0 голосов
1 ответ

Я пытаюсь создать память данных MIPS с Verilog. Код не работает должным образом. Это не в состоянии...

문규식 / 06 ноября 2019
0 голосов
1 ответ

Я собираюсь написать свое собственное ядро ​​RV32I с CLINT. Однако есть кое-что, что мне не совсем...

developer123 / 06 ноября 2019
0 голосов
1 ответ

d [7: 0] - входной вектор, который показывает ZZ в форме имитации. Когда такая ситуация возникает в...

Birjit / 06 ноября 2019
0 голосов
0 ответов

Я пишу простую программу на Vivado для отображения чистого стабильного изображения на экране через...

Hao Chen / 05 ноября 2019
0 голосов
1 ответ

Получение ошибки в "reg [7: 0] ram [63: 0];" Line. Я попробовал тот же код, и он работал для одного...

Chinmay K / 04 ноября 2019
0 голосов
0 ответов

Для чтения / записи данных из ОЗУ и их использования для выполнения арифметических операций в...

VishnuSajith / 03 ноября 2019
0 голосов
0 ответов

Проблема устранена. Была проблема с компилятором, код в порядке. Я написал следующий код для...

Muhammad Taimoor Abbasi / 03 ноября 2019
0 голосов
0 ответов

Я пытаюсь написать код для De1-Soc, чтобы сделать вращающиеся светодиоды. Это система, которая...

Mert Genco / 02 ноября 2019
0 голосов
2 ответов

Я могу построить код в modelsim, но при симуляции получаю ошибку ниже: addr_x, driven via port...

adi_226 / 02 ноября 2019
0 голосов
1 ответ

Я пытаюсь использовать генератор распределенной памяти, представленный vivado, для хранения...

Hao Chen / 01 ноября 2019
0 голосов
0 ответов

Я написал код Verilog для файла регистра, имеющего 4 регистра (каждый 32-битный). Ожидаемый...

Vipin Baswan / 31 октября 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...