Вопросы с тегом Verilog - PullRequest

Вопросы с тегом Verilog

0 голосов
1 ответ

Я пытаюсь использовать 1-битный полный вычитатель с помощью 4-битного модуля, поэтому я немного...

Elliott de Launay / 31 октября 2019
0 голосов
0 ответов

У меня есть счетчик (cnt_a) с начальным значением 125000 (т.е. 17'h 1_E848). который указывает...

johnagrees / 31 октября 2019
0 голосов
0 ответов

Я новичок в Verilog и только что получил TinyFGPA Bx. Я запустил некоторый пример кода и поэтому...

David Scullion / 30 октября 2019
0 голосов
1 ответ

Я пытаюсь реализовать дисперсию в vivado 2017.4 с использованием арифметики с фиксированной точкой....

David / 29 октября 2019
0 голосов
2 ответов

В Verilog я заметил, что различные ресурсы (примечания к курсу и онлайн-ресурсы), как правило,...

EHansen / 28 октября 2019
1 голос
0 ответов

Я создаю 32-битный шифтер с несколькими муксами, вот код: module shifter_32bit(a, b, out); input...

Virgil Chen / 27 октября 2019
0 голосов
1 ответ

Я делаю декодер для ПЛИС. Код verilog компилируется, но переключатели ничего не делают. Я четыре...

savageface / 27 октября 2019
0 голосов
0 ответов

Мне нужно сохранить 80 8-битных входов в памяти в testbench и вычислить среднее из восьми 8-битных...

fortune7 / 26 октября 2019
1 голос
1 ответ

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b1; end initial...

user3124390 / 26 октября 2019
0 голосов
2 ответов

. ,. всегда @ (*) начинаются case(op) // case statements operands 6'b000000: control <=...

yoni desta / 25 октября 2019
0 голосов
0 ответов

Я новичок в использовании icarus verilog, и я наткнулся на следующую проблему. У меня есть модуль,...

user608003 / 25 октября 2019
0 голосов
0 ответов

Внутри migen, если модуль присваивает значение Сигналу внутри конечного автомата, реализованного...

Sam Gonshaw / 25 октября 2019
0 голосов
1 ответ

Я новичок в EDA, и у меня есть следующий код verilog, и мне нужно четко определить синхронный сброс

yasara malshan / 24 октября 2019
0 голосов
1 ответ

Итак, я только начал изучать Verilog, используя Quartus II, и я создавал простые коды для запуска...

slowHDL / 24 октября 2019
0 голосов
1 ответ

Как вы можете управлять внутренними сигналами verilog-кода DUT из testbench? Рассмотрите следующий...

user3303020 / 23 октября 2019
0 голосов
1 ответ

Я хочу сделать свертку в моей FPGA. У меня есть массив, в котором хранится изображение, которое...

Skusku / 23 октября 2019
1 голос
1 ответ

Есть ли способ в vim перейти к началу или концу системного verilog-модуля, когда вы редактируете...

Johnny Ace / 23 октября 2019
0 голосов
1 ответ

Я новичок в ПЛИС, и недавно я попробовал очень простую программу с плавным светом, которая хорошо...

Hao Chen / 23 октября 2019
0 голосов
0 ответов

У меня совершенно непонятная ошибка. После того, как я скомпилировал свой код в Quartus II 10.1 и...

Fatma Vural / 23 октября 2019
0 голосов
0 ответов

Verilog-XL имеет системную задачу $ settrace, которую можно использовать для отслеживания симуляции

user3124390 / 23 октября 2019
0 голосов
0 ответов

module testA (inA,outA); input wire inA; output reg outA; endmodule module testB(inB,outB); input...

Mohamed Essam / 23 октября 2019
0 голосов
1 ответ

У меня есть Verilog TestBench, чтобы выполнить симуляцию уровня затвора модуля. Я хочу подать...

Raphael / 22 октября 2019
0 голосов
1 ответ

Мой вывод A, B, C, D и ввод x, y, z, из таблицы истинности, которую я только что сделал, я...

Irene Kara / 20 октября 2019
0 голосов
0 ответов

Мое правило именования следующее: Wire : prefix of "W_" и Reg : prefix of "R_"...

S. Takano / 20 октября 2019
0 голосов
1 ответ

Я пытаюсь понять, как работает алгоритм планирования Verilog. В приведенном ниже примере выводится...

user3124390 / 19 октября 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...