Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

0 голосов
0 ответов

Я пытаюсь использовать компонент памяти DDR3 на моей плате Arty (https://reference.digilentinc

NSH / 30 августа 2018
0 голосов
1 ответ

После удаления сброса из чипа Rocket я бы ожидал, что он начнет читать инструкции из памяти, но это...

jaskdjfasdhfawlg / 28 августа 2018
0 голосов
1 ответ

Я написал тестовый стенд для моего параметризованного проекта, в котором мне нужно рандомизировать...

maskarih / 06 июля 2018
0 голосов
2 ответов

Мне нужно получить длину текстового файла в Vivado во время симуляции. Я попробовал приведенный...

Sukru / 04 июля 2018
0 голосов
0 ответов

Я хочу иметь два комбинационных процесса, управляющих одним сигналом в проводном или в стиле....

Juergen / 26 июня 2018
0 голосов
1 ответ

Я успешно использовал графический интерфейс Vivado для синтеза дизайна и программирования ПЛИС.Я...

patti knuth / 13 июня 2018
0 голосов
1 ответ

Я получаю предупреждение о том, что [Synth 8-3332] Последовательный элемент (\ i_data_1_vect_1_reg...

kuladeepsai reddy / 04 июня 2018
0 голосов
1 ответ

Я использую AXI IIC BUS IP Core на vivado. Даже если я записываю соответствующие данные в регистр,...

墨疏雨 / 29 мая 2018
0 голосов
2 ответов

Я пытаюсь построить проект https://github.com/olajep/parallella-fpga/tree/2016.11.после того, как я...

hadi nik / 26 мая 2018
0 голосов
1 ответ

Я пытаюсь клонировать и заставить этот проект использовать в Vivado: https://github

hadi nik / 26 мая 2018
0 голосов
1 ответ

Я новичок на доске Zynq.Я пытаюсь работать с XADC zynq-xc7z020 и хочу увидеть его качество для...

Mojtaba Ahmadi / 20 мая 2018
0 голосов
0 ответов

Когда я пытался запустить проект ADI https://wiki.analog.com/resources/fpga/xilinx/kc705/adv7511 в...

Dwight Zhu / 19 мая 2018
0 голосов
2 ответов

Я работаю с zedboard и vivado v2017.3.Я пытаюсь отправить данные, хранящиеся в регистре (slv_reg0 в...

upasana / 18 мая 2018
0 голосов
1 ответ

Извините заранее, потому что я новичок в Vivado HLS. В моем следующем коде я хочу синтезировать его...

Mah / 15 мая 2018
0 голосов
1 ответ

В SystemVerilog LRM есть несколько примеров, показывающих, как передавать структуры в SystemVerilog...

user3716072 / 15 мая 2018
0 голосов
1 ответ

Я работаю с Zedboard и vivado v2017.3.У меня есть собственный IP в PL-части zynq, который...

upasana / 15 мая 2018
0 голосов
1 ответ

У меня проблема с синтезом моей программы VHDL (конечный автомат);ошибка: [Synth 8-97] индекс...

Ivan / 15 мая 2018
0 голосов
0 ответов

Я хочу определить тип записи следующим образом: package my_package is type natural_array is array...

Veena / 14 мая 2018
0 голосов
1 ответ

Извините, это может быть очень простой вопрос.Но я не мог найти никакого решения на линии и вне ее

Veena / 11 мая 2018
0 голосов
1 ответ

Мне было интересно, как я могу написать свой код C (всего один .c с парой разных функций) в две...

Mah / 04 мая 2018
0 голосов
0 ответов

Я пытаюсь проверить мой VHDL-код, например: entity fulladder4bit is port( a,b,cin: in std_logic; s...

DarkPassenger / 04 мая 2018
0 голосов
0 ответов

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ripplecarry4bit is port( a,b: in std_logic_vector...

DarkPassenger / 02 мая 2018
0 голосов
1 ответ

Я пытаюсь запрограммировать мою цифровую ПЛИС через командную строку vivado. После открытия...

chasep255 / 27 апреля 2018
0 голосов
1 ответ

Я пытаюсь запустить программу, которая должна имитировать MIPS, и у меня возникают проблемы с...

Maria / 26 апреля 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...