Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

2 голосов
0 ответов

Я работал над модификацией драйвера ядра Intel ixgbe для работы с моим устройством PCIe (FPGA, но...

arduic / 21 марта 2019
0 голосов
2 ответов

Рассмотрим схему, в которой PS (Zynq ARM A9) подключен к нескольким периферийным устройствам, где...

CJC / 20 марта 2019
0 голосов
0 ответов

Я хочу создать простую программу VHDL, использующую Vivado для регистрации ввода с клавиатуры 4x4 (...

Martín / 17 марта 2019
0 голосов
0 ответов

Я пытаюсь отладить набор исходных файлов с возможностью UART и PS / 2, используя Memory Mapped...

Vahe / 15 марта 2019
0 голосов
0 ответов

У меня есть входной сигнал ШИМ с произвольной частотой и рабочим циклом, и я должен ограничить...

zer0c00l / 14 марта 2019
1 голос
0 ответов

Я пытался определить, могу ли я сгенерировать ELF (Исполняемый связываемый формат), используя...

Vahe / 11 марта 2019
0 голосов
0 ответов

Я только начал играть с ПЛИС, и в качестве первого проекта я хочу взаимодействовать с...

meller92 / 08 марта 2019
0 голосов
0 ответов

Я получаю эту ошибку при попытке выполнить уравнение DCT для потока матрицы (A). Ошибка возникает в...

Harry Reid / 07 марта 2019
1 голос
1 ответ

Я пытаюсь передать массив 3D, хранящийся на моих платах PYNQ, в Direct Memory Access, выполнить...

Harry Reid / 07 марта 2019
0 голосов
0 ответов

Я использую Vivado 2018.2. Я хочу сделать простой делитель, скажем, вход 153, а константа 53. Итак,...

carlos123 / 04 марта 2019
0 голосов
0 ответов

Я пытаюсь написать компонент (mem_interface), который принимает 8-битные входные векторы для адреса...

Deskarano / 04 марта 2019
0 голосов
1 ответ

Я начал Verilog не так давно и застрял с некоторыми условными утверждениями в моем сумматоре.У меня...

E. Cheng / 24 февраля 2019
0 голосов
0 ответов

Недавно я работал над DLA с открытым исходным кодом с именем dnnweaver .Но я получил некоторые...

lijun / 18 февраля 2019
0 голосов
0 ответов

У меня есть блочный дизайн с Zynq и Microblaze на плате Xilinx Zed. Я хочу, чтобы microblaze имел...

dwjbosman / 16 февраля 2019
0 голосов
0 ответов

Я пытаюсь настроить дизайн на плате Zed с Zynq PS (arm0 / arm1, Linux) и Microblaze в PL (голый...

dwjbosman / 16 февраля 2019
0 голосов
0 ответов

Привет! Я хотел бы спросить о том, как выполнять арифметику с фиксированной точкой в ​​VHDL на...

Ajey / 16 февраля 2019
0 голосов
1 ответ

У меня есть полностью подключенная функция слоя, которую я хочу распараллелить в vivado HLS. Как...

mHo2 / 09 февраля 2019
0 голосов
1 ответ

Я пытался сгенерировать сетку маршрутизаторов (каждый маршрутизатор является модулем) переменной...

Vasanth / 05 февраля 2019
0 голосов
0 ответов

Я пытаюсь построить модуль для передачи данных.Во-первых, у меня есть BROM, сгенерированный из...

Thịnh Nguyễn / 30 января 2019
0 голосов
1 ответ

Я пытаюсь создать битовый файл для аппаратного дизайна, который включает в себя HDL и IP-ядра...

Jonathan Rainer / 25 января 2019
0 голосов
1 ответ

Простой вопрос о симуляции вивадо. Можно ли продолжить моделирование формы волны в VIVADO, как...

kaban / 24 января 2019
0 голосов
1 ответ

Vivado Simulation не может поддерживать неограниченные типы, имеющие подписанный компонент. т.е....

dyslexicgruffalo / 20 января 2019
0 голосов
2 ответов

Я закончил свой проект, который передает данные из XADC в другие компоненты, когда для UART_RXD_PIN...

kaban / 20 января 2019
0 голосов
2 ответов

Я хочу удалить все файлы в каталоге, используя TCL.(Я использую консоль TCL Xilinx Vivado под Win...

betontalpfa / 18 января 2019
0 голосов
0 ответов

Я пытаюсь следовать примеру из моей книги по VHDL. Его имя - прототипирование ПЛИС по примерам VHDL...

Çağlayan DÖKME / 18 января 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...