Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

0 голосов
0 ответов

Немного предыстории: В Vivado есть CLI, который можно вызвать из командной строки Windows,...

SeishunNoArkadia / 05 ноября 2019
0 голосов
0 ответов

Я пишу простую программу на Vivado для отображения чистого стабильного изображения на экране через...

Hao Chen / 05 ноября 2019
0 голосов
0 ответов

У меня есть удаленный сервер, на котором работает Ubuntu. Я использую терминал (SSH) для входа на...

D. Winters / 04 ноября 2019
0 голосов
0 ответов

Я пытаюсь реализовать 32-битный множитель кабины на плате zybo FPGA, используя XILINX vivado 2019.1

Inferno / 01 ноября 2019
0 голосов
1 ответ

Я пытаюсь использовать генератор распределенной памяти, представленный vivado, для хранения...

Hao Chen / 01 ноября 2019
0 голосов
0 ответов

Я столкнулся с ошибкой при попытке записать dict в файл json. После поиска в Интернете я нашел это...

Vito / 31 октября 2019
0 голосов
1 ответ

Я новичок в VHDL, пытаюсь написать код, который рассчитывает от 0000 до 0099 на моей плате...

selinoktay / 30 октября 2019
0 голосов
1 ответ

Я хочу сделать свертку в моей FPGA. У меня есть массив, в котором хранится изображение, которое...

Skusku / 23 октября 2019
0 голосов
1 ответ

Я новичок в ПЛИС, и недавно я попробовал очень простую программу с плавным светом, которая хорошо...

Hao Chen / 23 октября 2019
0 голосов
0 ответов

Я пытаюсь подключить zed-board к последовательной связи с python, но моя оболочка python не...

HAZEL / 11 октября 2019
0 голосов
0 ответов

Я пытаюсь создать FSM в VHDL. Однако инструмент синтеза, который я использую (Vivado), не будет...

Mario R. / 08 октября 2019
0 голосов
0 ответов

Я ищу справку о том, как использовать sds_mmap, чтобы получить виртуальный адрес для данного...

Harry / 01 октября 2019
0 голосов
0 ответов

Я использую IP-адрес мастера синхронизации для генерации трех разных часов, начиная с тактовых...

Roronoa / 26 сентября 2019
0 голосов
0 ответов

Все говорят, что вы не должны смешивать блокирующие и неблокирующие назначения в Verilog, но что...

vositis / 25 сентября 2019
0 голосов
0 ответов

Я пытаюсь внедрить процессор riscv (процессор картошки) в vivado.Я добавил VHDL файлы процессора в...

jak shefer / 23 сентября 2019
0 голосов
1 ответ

Я пытаюсь принять этот сигнал: сигнал Fx3_bridge: std_logic_vector (1 downto 0); К этому выходному...

Juanma Canals / 11 июля 2019
0 голосов
0 ответов

Как создать IP-ядро, созданное с помощью Vilado IP-интегратора Xilinx в vhdl, используя синтаксис...

Bill Moore / 29 июня 2019
0 голосов
1 ответ

Я пытаюсь читать из текстового файла в моем коде синтеза. Тем не менее, я получаю сообщение об...

Devon Schleyer / 26 июня 2019
0 голосов
0 ответов

Если вы добавляете IP-адрес пользователя в свой проект, содержащий пакет файлов verilog или vhdl...

pico / 20 июня 2019
0 голосов
1 ответ

Предположим, у вас есть ядро ​​RTL без осевой шины для файлов verilog или vhdl, и вы добавите их в...

pico / 20 июня 2019
0 голосов
1 ответ

Я разделил сигналы filter_y_out и filter_x_out, но я не знаю, как их использовать SIGNAL...

Andra12345 / 18 июня 2019
1 голос
1 ответ

Я получаю сообщение об ошибке, в котором говорится, что моя цель - 17 бит, а источник - 33 бита.Я...

Devon Schleyer / 13 июня 2019
0 голосов
1 ответ

По сути, эта проблема связана с отображением IO верхнего уровня verilog или vhdl на неиспользуемые...

pico / 12 июня 2019
0 голосов
2 ответов

Допустим, я построил проект FPGA vivado Zynq, и я хочу написать и прочитать порт Zynq «M_AXI_GP0»...

pico / 11 июня 2019
0 голосов
2 ответов

Я бы поклялся, что в vivado есть ошибка, заключающаяся в том, что он никогда не обновляет...

pico / 07 июня 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...