Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

0 голосов
1 ответ

В моем VHDL у меня есть интерфейс верхнего уровня подчиненного устройства APB и мастер-порт AXI,...

pico / 07 июня 2019
1 голос
3 ответов

Я написал несколько RTL vivado, а затем добавил некоторые атрибуты vhdl к портам сущности, чтобы...

pico / 04 июня 2019
0 голосов
0 ответов

Мой проект заключается в создании звука сирены с использованием платы Digilent Nexys 4 с...

Zoltán Germán-Salló / 03 июня 2019
0 голосов
0 ответов

Я ожидаю, что следующий код просто сгенерирует два элемента И, но ворота процедуры получат защелку...

Mattenii / 03 июня 2019
0 голосов
1 ответ

У меня есть несколько аппаратных IP-адресов, которые мне нужно синтезировать. И IP содержит...

noureddine-as / 29 мая 2019
0 голосов
0 ответов

Я установил связь между клавиатурой USB HID и Nexys 4 ddr. Мне удалось вывести буквы, которые я...

Maria / 27 мая 2019
0 голосов
0 ответов

Я пытаюсь преобразовать код SystemC в Verilog, используя vivado hls, однако я не могу этого сделать...

M.X / 26 мая 2019
0 голосов
1 ответ

Я использую vivado 2017.1, и моя цель - рассчитать энергопотребление FIR-фильтра.Я пишу в verilog в...

Maryam / 21 мая 2019
0 голосов
0 ответов

У меня есть школьный проект, в котором мне нужно создать связь между клавиатурой USB HID и...

Maria / 13 мая 2019
0 голосов
0 ответов

Мой компонент читает из оперативной памяти, выполняет некоторые вычисления и записывает обратно в...

LoSpazzino / 10 мая 2019
0 голосов
0 ответов

Я написал FSM на VHDL, и мне нужно, чтобы каждый процесс решал логические и арифметические...

2 голосов
0 ответов

Я написал функцию Bash, которая использует sed для добавления цвета в Vivado.Интерактивный режим...

Halfow / 08 мая 2019
0 голосов
0 ответов

У меня есть одна программа verilog, которую я не могу изменить, и ей нужно 16 входов и 1 выход. Мне...

A.J.X / 07 мая 2019
0 голосов
2 ответов

Я пытаюсь создать 4-битный фулладер из 1-битного фулладдера, но используемая мной платформа VIVADO...

mrpepo877 / 05 мая 2019
0 голосов
1 ответ

У меня есть некоторые проблемы с моими кодами verilog.Ошибка, как описано в заголовке.Я не знаю...

Angry Man / 03 мая 2019
0 голосов
2 ответов

Эта программа должна иметь возможность выводить синусоидальную волну на испытательный стенд, где...

RytisBe / 27 апреля 2019
1 голос
0 ответов

Я пытаюсь переместить нашу большую сборку FPGA в среду CI Jenkins, но сборка зависает в конце...

harkness / 17 апреля 2019
0 голосов
1 ответ

В настоящее время я работаю в vivado 2018.3.1, и мне нужно сделать статический анализ времени для...

Javi / 14 апреля 2019
0 голосов
0 ответов

Мне нужно отправить сигнал с помощью доски, которая включает в себя Zynq. Я создал пользовательское...

RiccardoB / 11 апреля 2019
0 голосов
1 ответ

Я пытаюсь запустить поведенческое моделирование на своем коде Verilog в Vivado, однако после...

clbx / 10 апреля 2019
0 голосов
0 ответов
0 голосов
0 ответов

Я работаю над Zynq 7z030 и пытаюсь получить данные о DDR со стороны PL. Я использую код опроса AXI...

atnd / 05 апреля 2019
0 голосов
1 ответ

Я использую vivado для загрузки прошивки в плату и проведения некоторых тестов. Это рекурсивный...

Sourav Rajeev / 03 апреля 2019
0 голосов
0 ответов

Я внедряю MLP ANN на Zedboard, в Vivado 2017.4 Xilinx ISE, в Windows10.На самом деле я пытаюсь...

Am1ne / 02 апреля 2019
0 голосов
1 ответ

Мне нужно сложить верхнюю половину двух изображений (hls :: Mat) вместе. В итоге у меня возникли...

eli chan / 26 марта 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...