Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

0 голосов
1 ответ

Я пытаюсь сбросить значения входов в цепи, когда начинается сигнал сброса. Я пишу на Vivado от...

Stefano Barone / 13 января 2019
0 голосов
0 ответов

Я создал функцию «my_func» в пакете, которая при вводе с x производит матрицу целых чисел формы...

dyslexicgruffalo / 10 января 2019
0 голосов
0 ответов

Я пытаюсь прочитать значение из BROM, которое я сгенерировал из Block Memory Generator в Vivado...

Thịnh Nguyễn / 09 января 2019
0 голосов
1 ответ

У меня есть два идентичных (с помощью моделирования) процесса триггера в verilog. Первое - это...

J. Doe / 08 января 2019
0 голосов
1 ответ

Я пытаюсь создать какое-то оборудование в SystemVerilog, и я столкнулся с проблемой, на которую не...

Jonathan Rainer / 04 января 2019
0 голосов
0 ответов

Я понимаю, что изменения в сигнале внутри процесса будут иметь место в конце процесса.Мне нужен...

Florea Vlad / 04 января 2019
0 голосов
1 ответ

Рассмотрим простую систему с PS (Процессорная система) с включенным AXI3 Master, подключенным к...

CJC / 26 декабря 2018
0 голосов
1 ответ

Рассмотрим межсоединение AXI4 на стороне PL (FPGA). Когда я дважды щелкаю, чтобы увидеть доступные...

CJC / 26 декабря 2018
0 голосов
1 ответ

Просто хотел спросить, в чем разница между безопасными и незащищенными транзакциями, когда речь...

CJC / 26 декабря 2018
0 голосов
2 ответов

Что касается Vivado, как можно проверить конструкцию, скажем, общий сценарий умножения матриц.Если...

Arslan Majid / 22 декабря 2018
0 голосов
0 ответов

Я получаю эту ошибку при попытке реализовать D-триггер и имитировать его: VRFC 10-718] формальный...

Florea Vlad / 21 декабря 2018
0 голосов
1 ответ

Я пытаюсь запустить FSM и Adder в VHDL, чтобы он действовал как торговый автомат, но я получаю...

Hysteria103 / 19 декабря 2018
0 голосов
0 ответов

В настоящее время я использую два BAR в мосте AXI для PCIe. Следующая комбинация работает BAR0: 128...

dtypist / 15 декабря 2018
0 голосов
0 ответов

Я хотел бы объявить объект с портами верхнего уровня, который может относиться к одному из...

user6011201 / 11 декабря 2018
0 голосов
1 ответ

Мы разрабатываем систему с пользовательским процессором, Microblaze и некоторыми периферийными...

dtypist / 06 декабря 2018
0 голосов
1 ответ

Функция кода получает код операции, она будет выполнять задачу на переднем крае часов.Я студент...

Adrian Gomez / 03 декабря 2018
0 голосов
0 ответов

Я работаю в лаборатории, которая включает перемещение зеленого квадрата через монитор, избегая при...

Destin Hilt / 01 декабря 2018
0 голосов
1 ответ

А что это, если это не так? Все, что я читал о TCL, гласит, что все это просто строка в нем.Внутри...

Anatol / 28 ноября 2018
0 голосов
1 ответ

Что я сделал не так с выбранным назначением сигнала в моем коде VHDL? with s select x <= a when...

Typical Cow / 21 ноября 2018
0 голосов
0 ответов

Это может быть глупый вопрос ... Я хочу создать демультиплексор с одним входом: a, байтом и двумя...

Worst / 21 ноября 2018
0 голосов
1 ответ

Я использую System Verilog. Мой файл дизайна верхнего уровня имеет 1-битный вывод bsOut. Я также...

Alex G / 17 ноября 2018
0 голосов
0 ответов

У меня есть два объекта на тестовом стенде systemverilog.Один из них предоставляет несколько...

flyingblindonarocketcycle / 15 ноября 2018
0 голосов
2 ответов

Я пытаюсь использовать цикл for для подсчета повторного старшего бита в 32-битном числе. Для этого...

GrimThor3 / 11 ноября 2018
0 голосов
1 ответ

Я получаю свой выходной сигнал умножения матриц в шестнадцатеричном виде, но не в виде матрицы, как...

Kevin Harsha / 08 ноября 2018
0 голосов
1 ответ

Я пытаюсь синтезировать Rocket-Chip на Vivado. Мне удалось запустить симуляцию на Вивадо и получить...

hitoswal / 08 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...