Вопросы с тегом vivado - PullRequest

Вопросы с тегом vivado

0 голосов
1 ответ

Напишите модуль VHDL для параллельного правого сдвига параллельного входа регистр рисунка...

John / 07 ноября 2018
0 голосов
1 ответ

У меня есть массив целых чисел в диапазоне от 0 до 23, в котором хранится значение в диапазоне от 0...

Newbee / 02 ноября 2018
0 голосов
1 ответ

Я не могу понять, почему vivado генерирует синтаксическую ошибку в моих объявлениях сигналов,...

Bubba LeBlanc / 30 октября 2018
0 голосов
0 ответов

Я только что прошил файл .bit на флэш-память spi моей платы Nexys4 DDR (Artix7). Битовый файл...

dwjbosman / 27 октября 2018
0 голосов
1 ответ

У меня есть среда тестирования, которую мне нужно портировать на Xilinx Vivado. Какие аналоги...

Bhawandeep Singh / 19 октября 2018
0 голосов
1 ответ

Я новичок в Verilog, также в FPGA, и в настоящее время я работаю над проектом.Я выполняю блоки...

Thịnh Nguyễn / 15 октября 2018
0 голосов
1 ответ

Я хочу удалить обуф, присутствующий на выходах моего схематического проекта.

Asnani Puneet / 14 октября 2018
0 голосов
0 ответов

Я создаю простой дизайн VHDL для Xilinx FPGA.Я пытаюсь создать тестовый стенд для него.Когда я...

Andrew Kuschenko / 13 октября 2018
0 голосов
1 ответ

Редактор директив Vivado HLS предоставляет различные опции для директивы «Ресурс».Можно ли поручить...

sam / 09 октября 2018
0 голосов
0 ответов

Я новичок в использовании Vivado.Я пытаюсь увидеть время (задержка или задержка), и я делаю...

안상현 / 09 октября 2018
0 голосов
1 ответ
0 голосов
0 ответов

Я пытаюсь смоделировать XADC в VIVADO У меня есть мой код TestBench здесь library IEEE; use ieee

Newbee / 08 октября 2018
0 голосов
0 ответов

Я пытаюсь создать файл тестового стенда для имитации моего модуля добавления / подчинения и получил...

jsteve34 / 04 октября 2018
0 голосов
2 ответов

У меня ошибка при запуске реализации в vivado 2018.2 Это подробности ошибки Информация: [Место...

wwbin / 02 октября 2018
0 голосов
0 ответов

У меня есть часы 80 МГц, сгенерированные из часов Vivado PLL.Я пытаюсь сгенерировать часы с...

Mortada / 27 сентября 2018
0 голосов
2 ответов

Я новичок в Verilog и использую Vivado, чтобы попытаться написать тестовую среду для некоторого...

joasctorb / 27 сентября 2018
0 голосов
0 ответов

Я пытаюсь реализовать 8-разрядный двунаправленный регистр сдвига в VHDl, но он не работает должным...

Edaj Mai / 26 сентября 2018
0 голосов
1 ответ

Я создал этот простой счетчик mod16, используя плату basys3, и что-то не так с моими часами.Сам код...

donwazonesko / 23 сентября 2018
0 голосов
1 ответ

я новичок в использовании инструмента vivado, и я пытаюсь сделать множитель. и я не использую часы...

안상현 / 22 сентября 2018
0 голосов
1 ответ

Я пытаюсь запустить пример клиента C ++ ZMQ.Код прекрасно компилируется с g ++, но я не могу...

Anuradha / 20 сентября 2018
0 голосов
1 ответ

Я пытаюсь скопировать файл, используя подстановочный знак, и он неправильно интерпретируется. set...

gutelfuldead / 18 сентября 2018
0 голосов
2 ответов

Сначала я хочу знать, почему create_clock, create_generate_clock, задержка на входе, задержка на...

안상현 / 18 сентября 2018
0 голосов
1 ответ

Я пытаюсь создать самодельный пакет для проекта VHDL, используя Vivado, и у меня возникает ошибка,...

phlie / 15 сентября 2018
0 голосов
0 ответов

Я пытаюсь запустить свой VHDL-код на Vivado 2016.3, но даже через 5 часов он не закончился. Затем я...

uzmeed / 14 сентября 2018
0 голосов
0 ответов

Хорошо, я проектировал модуль, который пытается зашифровать 128-битное сообщение, используя режим...

Ahmed Fakhry / 10 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...